23.08.2013 Views

CV - Electronic Systems

CV - Electronic Systems

CV - Electronic Systems

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Efficient 3D-Stacked Wide I/O DRAMs. In Proc. Design, Automation<br />

and Test in Europe Conference and Exhibition (DATE), March 2013.<br />

92. Manil Dev Gomony, Benny Akesson, and Kees Goossens. Architecture and<br />

optimal configuration of a real-time multi-channel memory controller. In<br />

Proc. Design, Automation and Test in Europe Conference and Exhibition<br />

(DATE), March 2013.<br />

93. Sven Goossens, Benny Akesson, and Kees Goossens. Conservative openpage<br />

policy for mixed time-criticality memory controllers. In Proc. Design,<br />

Automation and Test in Europe Conference and Exhibition (DATE),<br />

March 2013.<br />

4.5 Invited publications<br />

1. Paul Wielage and Kees Goossens. Networks on silicon: Blessing or nightmare?<br />

In Euromicro Symposium On Digital System Design, pages 196–<br />

200, Washington, DC, USA, September 2002. IEEE Computer Society.<br />

2. Kees Goossens. Formal methods for networks on chips. In Proc. Int’l<br />

Conference on Application of Concurrency to System Design (ACSD),<br />

pages 188–189, Washington, DC, USA, June 2005. IEEE Computer Society.<br />

3. Miron Abramovici, Neal Stollon, Kees Goossens, Bart Vermeulen, Jack<br />

Greenbaum, and Adam Donlin. You can catch more bugs with transaction<br />

level honey. In Int’l Conf. on Hardware/Software Codesign and System<br />

Synthesis (CODES+ISSS), pages 121–124, New York, NY, USA, October<br />

2008. ACM.<br />

4. Bart Vermeulen and Kees Goossens. A network-on-chip monitoring infrastructure<br />

for communication-centric debug of embedded multi-processor<br />

SoCs. In Proc. Int’l Symposium on VLSI Design, Automation and Test<br />

(VLSI-DAT), pages 183–186, April 2009.<br />

5. Anca Molnos, Jude Angelo Ambrose, Andrew Nelson, Radu Stefan, Sorin<br />

Cotofana, and Kees Goossens. A composable, energy-managed, real-time<br />

MPSOC platform. In Proc. Int’l Conference on Optimization of Electrical<br />

and <strong>Electronic</strong> Equipment (OPTIM), pages 870–876, May 2010.<br />

6. Bart Vermeulen and Kees Goossens. Obtaining consistent global state<br />

dumps to interactively debug systems on chip with multiple clocks. In<br />

Proc. Workshop on High-Level Design Validation and Test (HLDVT),<br />

pages 1–8, June 2010.<br />

7. Kees Goossens and Andreas Hansson. The Aethereal network on chip<br />

after ten years: Goals, evolution, lessons, and future. In Proc. Design<br />

Automation Conference (DAC), pages 306–311, June 2010.<br />

8. Andrew Nelson, Anca Molnos, and Kees Goossens. Composable power<br />

management with energy and power budgets per application. In Proc.<br />

Int’l Conference on Embedded Computer <strong>Systems</strong>: Architectures, MOdeling<br />

and Simulation (SAMOS), pages 396–403, July 2011.<br />

9. M. Ferger, M. Al Kadi, M. Hübner, M. Koedam, S. Sinha, K. Goossens,<br />

G. Marchesan Almeida, J. Rodrigo Azambuja, and J. Becker. Hardware<br />

17

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!