23.08.2013 Views

CV - Electronic Systems

CV - Electronic Systems

CV - Electronic Systems

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Goossens, and Thang Nguyen. Ideas on power minimisation for realtime<br />

dataflow applications through voltage & frequency scaling. In Proc.<br />

Annual Workshop on Circuits, <strong>Systems</strong> and Signal Processing (ProRisc),<br />

Veldhoven, The Netherlands, November 2010.<br />

21. Jude Ambrose, Anca Molnos, Andrew Nelson, Sorin Cotofana, Kees Goossens,<br />

and Ben Juurlink. Composable local memory organisation for streaming<br />

applications on embedded MPSoCs. In Proc. Int’l Conference on Computing<br />

Frontiers (CF), May 2011.<br />

22. Ashkan Beyranvand Nejad, Anca Molnos, and Kees Goossens. A unified<br />

execution model for data-driven applications on a composable MPSoC. In<br />

Proc. Euromicro Symposium on Digital System Design (DSD), August<br />

2011.<br />

23. Arnaldo Azevedo, Bart Vermeulen, and Kees Goossens. Architecture of<br />

the event distribution network for cross-triggering debug. In Proc. Annual<br />

Workshop on PROGram for Research on Embedded <strong>Systems</strong> & Software<br />

(Progress), November 2011. Abstract & Poster.<br />

24. Ashkan Beyranvand Nejad, Anca Molnos, and Kees Goossens. Enabling<br />

time-triggered scheduling on a composable embedded system. In Proc.<br />

Annual Workshop on PROGram for Research on Embedded <strong>Systems</strong> &<br />

Software (Progress), November 2011. Abstract & Poster.<br />

25. Karthik Chandrasekar, Benny Akesson, and Kees Goossens. Predictable<br />

power-down policies for SDRAMs. In Proc. Annual Workshop on PRO-<br />

Gram for Research on Embedded <strong>Systems</strong> & Software (Progress), November<br />

2011. Abstract & Poster.<br />

26. Manil Dev Gomony, Benny Akesson, and Kees Goossens. A parallel-access<br />

method for 3D-stacked DRAMs. In Proc. Annual Workshop on PRO-<br />

Gram for Research on Embedded <strong>Systems</strong> & Software (Progress), November<br />

2011. Abstract & Poster.<br />

27. Sven Goossens, Benny Akesson, and Kees Goossens. Reconfiguration<br />

of a predictable and composable SDRAM controller with persistent applications.<br />

In Proc. Annual Workshop on PROGram for Research on<br />

Embedded <strong>Systems</strong> & Software (Progress), November 2011. Abstract &<br />

Poster.<br />

28. Davit Mirzoyan, Benny Akesson, and Kees Goossens. Impact of process<br />

variation on QoS in SRT applications. In Proc. Annual Workshop<br />

on PROGram for Research on Embedded <strong>Systems</strong> & Software (Progress),<br />

November 2011. Abstract & Poster.<br />

29. Andrew Nelson, Anca Molnos, and Kees Goossens. A design concept for<br />

independent multi-application development. In Proc. Annual Workshop<br />

on PROGram for Research on Embedded <strong>Systems</strong> & Software (Progress),<br />

November 2011. Abstract & Poster.<br />

30. Radu Stefan and Kees Goossens. Run-time allocation in contention-free<br />

routing NoCs. In Proc. Annual Workshop on PROGram for Research on<br />

Embedded <strong>Systems</strong> & Software (Progress), November 2011. Abstract &<br />

Poster.<br />

31. Pavel G. Zaykov, Anca M. Molnos, Georgi Kuzmanov, and Kees Goossens.<br />

20

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!