23.08.2013 Views

CV - Electronic Systems

CV - Electronic Systems

CV - Electronic Systems

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

309–318. IEEE Computer Society, August 2011.<br />

71. Karthik Chandrasekar, Benny Akesson, and Kees Goossens. Improved<br />

power modeling of DDR SDRAMs. In Proc. Euromicro Symposium on<br />

Digital System Design (DSD), DSD ’11, pages 99–108, Washington, DC,<br />

USA, August 2011. IEEE Computer Society.<br />

72. Andrew Nelson, Orlando Moreira, Anca Molnos, Sander Stuijk, Ba Thang<br />

Nguyen, and Kees Goossens. Power minimisation for real-time dataflow<br />

applications. In Proc. Euromicro Symposium on Digital System Design<br />

(DSD), DSD ’11, pages 117–124, Washington, DC, USA, August 2011.<br />

IEEE Computer Society.<br />

73. Muhammad Aqeel Wahlah and Kees Goossens. PUMA: Placement unification<br />

with mapping and guaranteed throughput allocation on an FPGA<br />

using a hardwired NoC. In Proc. Euromicro Symposium on Digital System<br />

Design (DSD), pages 88–96. IEEE, August 2011.<br />

74. Muhammad Aqeel Wahlah and Kees Goossens. A non-intrusive online<br />

FPGA test scheme using a hardwired network on chip. In Proc. Euromicro<br />

Symposium on Digital System Design (DSD), DSD ’11, pages 351–359,<br />

Washington, DC, USA, August 2011. IEEE Computer Society.<br />

75. Radu Stefan and Kees Goossens. Enhancing the security of time-divisionmultiplexing<br />

networks-on-chip through the use of multipath routing. In<br />

Proc. Int’l Workshop on Network on Chip Architectures (NOCARC), New<br />

York, NY, USA, December 2011. ACM.<br />

76. Radu Stefan, Ashkan Beyranvand Nejad, and Kees Goossens. Online<br />

allocation for contention-free-routing nocs. In Proc. Interconnection Network<br />

Architecture: On-Chip, Multi-Chip (INA-OCMC), pages 13–16, New<br />

York, NY, USA, 2012. ACM Press.<br />

77. Radu Stefan, Anca Molnos, Angelo Ambrose, and Kees Goossens. A<br />

TDM NoC supporting QoS, multicast, and fast connection set-up. In<br />

Proc. Design, Automation and Test in Europe Conference and Exhibition<br />

(DATE), pages 1283–1288, Dresden, Germany, March 2012. IEEE.<br />

78. Manil Dev Gomony, Christian Weis, Benny Akesson, Norbert Wehn, and<br />

Kees Goossens. DRAM selection and configuration for real-time mobile<br />

systems. In Proc. Design, Automation and Test in Europe Conference<br />

and Exhibition (DATE), pages 51–56, Dresden, Germany, March 2012.<br />

IEEE.<br />

79. Davit Mirzoyan, Benny Akesson, and Kees Goossens. Process-variation<br />

aware mapping of real-time streaming applications to MPSoCs for improved<br />

yield. In Int’l Symposium on Quality <strong>Electronic</strong> Design (ISQED),<br />

pages 41 –48, Santa Clara, CA USA, March 2012. IEEE.<br />

80. Anca Molnos, Ashkan Beyranvand Nejad, Ba Thang Nguyen, Sorin Cotofana,<br />

and Kees Goossens. Decoupled inter- and intra-application scheduling<br />

for composable and robust embedded MPSoC platforms. In Workshop<br />

on Mapping of Applications to MPSoCs (MAP2MPSOC), pages 13–21,<br />

New York, NY, USA, May 2012. ACM.<br />

81. Karthik Chandrasekar, Benny Akesson, and Kees Goossens. Run-time<br />

power-down strategies for real-time SDRAM memory controllers. In Proc.<br />

15

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!