23.08.2013 Views

CV - Electronic Systems

CV - Electronic Systems

CV - Electronic Systems

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

2010.<br />

60. Benny Akesson, Williston Hayes Jr., and Kees Goossens. Classification<br />

and analysis of predictable memory patterns. In Proc. Int’l Conference on<br />

Embedded and Real-Time Computing <strong>Systems</strong> and Applications (RTCSA),<br />

pages 367–376, Washington, DC, USA, August 2010. IEEE Computer<br />

Society.<br />

61. Kees Goossens, Dongrui She, Aleksandar Milutinovic, and Anca Molnos.<br />

Composable dynamic voltage and frequency scaling and power management<br />

for dataflow applications. In Proc. Euromicro Symposium on Digital<br />

System Design (DSD), pages 107–114, Washington, DC, USA, September<br />

2010. IEEE Computer Society.<br />

62. Andrew Nelson, Andreas Hansson, Henk Corporaal, and Kees Goossens.<br />

Conservative application-level performance analysis through simulation of<br />

MPSoCs. In Embedded <strong>Systems</strong> for Real-Time Multimedia (ESTIMedia),<br />

pages 51–60, October 2010.<br />

63. Erik Larsson, Bart Vermeulen, and Kees Goossens. Checking pipelined<br />

distributed global properties for post-silicon debug. In Proc. Workshop<br />

on RTL and high level testing (WRTLT), December 2010.<br />

64. Radu Stefan and Kees Goossens. An improved algorithm for slot selection<br />

in the Æthereal network-on-chip. In Proc. Interconnection Network<br />

Architecture: On-Chip, Multi-Chip (INA-OCMC), INA-OCMC ’11, pages<br />

7–10, New York, NY, USA, January 2011. ACM.<br />

65. Bart Vermeulen and Kees Goossens. Interactive debugging of systems on<br />

chip with multiple clocks. IEEE Design & Test of Computers, 28(3):44–<br />

51, May/June 2011. Special issue on Transaction-Level Validation of<br />

Multicore Architectures.<br />

66. Ashkan Beyranvand Nejad, Matías Escudero Martínez, and Kees Goossens.<br />

An FPGA bridge preserving traffic quality of service for on-chip networkbased<br />

systems. In Proc. Design, Automation and Test in Europe Conference<br />

and Exhibition (DATE), pages 1–6. IEEE, March 2011.<br />

67. Thijs Schenkelaars, Bart Vermeulen, and Kees Goossens. Optimal scheduling<br />

of switched FlexRay networks. In Proc. Design, Automation and Test<br />

in Europe Conference and Exhibition (DATE), pages 1–6, March 2011.<br />

68. Benny Akesson and Kees Goossens. Architectures and modeling of predictable<br />

memory controllers for improved system integration. In Proc. Design,<br />

Automation and Test in Europe Conference and Exhibition (DATE),<br />

pages 1–6. IEEE, March 2011.<br />

69. Benny Akesson, Williston Hayes Jr., and Kees Goossens. Automatic generation<br />

of efficient predictable memory patterns. In Proc. Int’l Conference<br />

on Embedded and Real-Time Computing <strong>Systems</strong> and Applications<br />

(RTCSA), volume 1, pages 177–184. IEEE Computer Society, August<br />

2011.<br />

70. Firew Siyoum, Benny Akesson, Sander Stuijk, Kees Goossens, and Henk<br />

Corporaal. Resource-efficient real-time scheduling using credit-controlled<br />

static-priority arbitration. In Proc. Int’l Conference on Embedded and<br />

Real-Time Computing <strong>Systems</strong> and Applications (RTCSA), volume 1, pages<br />

14

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!