27.01.2015 Views

Online proceedings - EDA Publishing Association

Online proceedings - EDA Publishing Association

Online proceedings - EDA Publishing Association

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

International Workshop on<br />

THERMal INvestigations of ICs and Systems<br />

7-9 OCTOBER 2009, LEUVEN, BELGIUM<br />

THERMINIC<br />

2009<br />

http://cmp.imag.fr<br />

Sponsored by :


COLLECTION OF PAPERS PRESENTED AT THE<br />

15 th International Workshop on<br />

THERMal INvestigation of ICs<br />

and Systems<br />

Leuven, Belgium<br />

7-9 October 2009<br />

Sponsored by:<br />

The Institute of Electrical & Electronics<br />

Engineers, Inc.<br />

IEEE Components, Packaging and<br />

Manufacturing Technology Society


7-9 October 2009, Leuven, Belgium<br />

©<strong>EDA</strong> <strong>Publishing</strong> <strong>Association</strong> /THERMINIC2009 ISBN: 978-2-35500-010-2<br />

IEEE Catalog Number: CFP09TII<br />

Abstracting / Indexing:<br />

• Cambridge Scientific Abstracts<br />

• INSPEC<br />

• PASCAL<br />

• CEDOCAR<br />

• British Library’s OPAC<br />

• TIB<br />

• BNF<br />

• SUDOC<br />

Repositories:<br />

• IEEE XPLORE<br />

• CNRS/HAL Open Archives<br />

• ArXiv Open Archives<br />

• EU Funded Driver Project Open Archives<br />

Additional copies of this PROCEEDINGS or copies of previous years may be purchased from:<br />

CMP, 46 Avenue Félix Viallet, 38031 Grenoble, France.<br />

Fax: +33 4 76 47 38 14 –<br />

Order forms available at: http://cmp.imag.fr/conferences<br />

Visit <strong>EDA</strong> <strong>Publishing</strong> <strong>Association</strong> http://www.eda-publishing.org Since 2005 <strong>proceedings</strong> are available on-line.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009<br />

II ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

WORKSHOP COMMITTEE:<br />

General Chair:<br />

Vice General Chair:<br />

Programme Chair:<br />

B. Courtois, CMP Grenoble, France<br />

M. Rencz, BUTE, Budapest, Hungary<br />

T. Baelmans, KU Leuven, Belgium<br />

Local Organizing Committee: B. Vandevelde, IMEC, Belgium<br />

T. Persoons, KU Leuven, Belgium<br />

PROGRAMME COMMITTEE:<br />

Y.C. Gerstenmaier, Siemens AG, Germany<br />

I. Barsony, KFKI-ATKI, Hungary<br />

H. Chiueh, National Chiao Tung U., Taiwan<br />

A. Daniel, Intel, USA<br />

G. De Mey, Ghent U., Belgium<br />

R. Egawa, Tohoku U., Japan<br />

W. Faris, IIUM, Malaysia<br />

S. Garimella, Purdue U., West Lafayette, USA<br />

Y. Gianchandani, U. of Michigan, USA<br />

A. Glezer, The Georgia Inst. of Techno., USA<br />

B. Michel, IBM Zurich, Rueschlikon, Switzerland<br />

V. Natarajan, Intel India, Bangalore, India<br />

A.o Rubio, UPC, Spain<br />

M-N. Sabry, U. Française d’Égypte, Egypt<br />

A. Shakouri, U. of California, USA<br />

E. Suhir, U.C Santa Cruz, USA<br />

G. Wachutka, TU München, Germany<br />

F. Udrea, U. of Cambridge, UK<br />

A. Poppe, BUTE, Budapest, Hungary<br />

B. Guenin, Sun Microsystems, USA<br />

K. Chakrabarty, Duke, USA<br />

D. Blackburn, NIST, USA<br />

A. Aranyosi, Electronic Cooling Solutions Inc.<br />

T. Zahner, OSRAM, Germany<br />

A. Napieralski, TU Lodz, Poland<br />

P. Raad, South. Methodist U., USA<br />

J. Janssen, NXP Semiconductors, Nijmegen,<br />

The Netherlands<br />

L. Codecasa, Polit. di Milano, Italy<br />

Y. Scudeller, E.Polytech. U. Nantes, France<br />

H. Pape, Infineon Techn., Germany<br />

V. Tsoi, Huawei Techno, Kista, Sweden<br />

T. Baba, Nat. Metrology Institute Tsukuba,<br />

Japan<br />

F. Christiaens, Alcatel Bell, Belgium<br />

J. Parry, Flomerics, Hampton Court, UK<br />

M. Shin, Myong Ji U., Korea<br />

P. Rodgers, The Petroleum Inst., UAE<br />

A. Tay, NUS, Singapore<br />

W. Claeys, U. Bordeaux, France<br />

N. Taketoshi, AUST, Ivbaraki, Japan<br />

K. Yazawa, Sony, Tokyo, Japan<br />

A-C. Pliska, CSEM, Neuchâtel, Switzerland<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009<br />

III ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 IV ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

PREFACE<br />

THERMINIC Workshops are a series of events to discuss the essential thermal questions of microelectronic<br />

microstructures and electronic parts in general. These questions are becoming more and more crucial with the increasing<br />

element density of circuits packaged together and with the move to nanotechnology. Thermal management is expected to<br />

become an increasingly dominating factor of the cost of the total system. All these trends are calling for thermal<br />

simulation, monitoring and cooling. New developments such as the moving parts of microsystems raise new thermal<br />

problems to be solved in the near future necessitating the regular discussion of the experts in these fields. In addition, new<br />

materials have to be created to assure the manageability of the increased thermal stress and to answer the challenges of the<br />

nano-era.<br />

Previous THERMINIC Workshops have been held in Grenoble (1995), Budapest (1996), Cannes (1997 and 1998), Rome<br />

(1999), Budapest (2000), Paris (2001) Madrid (2002), Aix-en-Provence (2003) Sophia Antipolis (2004), Belgirate (2005)<br />

in Nice (2006) Budapest (2007) and in Rome (2008).<br />

THERMINIC 2009 programme includes 2 invited talks 34 oral in 9 sessions and 10 poster presentations including 2<br />

special sessions on Nanopack and 1 invited talk.<br />

Out of the submissions accepted by the Programme Committee, this volume -- which is the informal <strong>proceedings</strong> of the<br />

Workshop -- contains the paper versions of one invited speaker presentation, 34 oral presentations and 9 poster<br />

presentations.<br />

We would like to express our sincere appreciation to the authors for their high quality contributions, their cooperation and<br />

efforts. In addition, we would like to thank the members of the Workshop Programme Committee for carrying out the<br />

paper selection work with care and competence.<br />

Bernard Courtois<br />

General Chair<br />

Márta Rencz<br />

Vice General Chair<br />

Martine. Baelmans<br />

Programme Chair<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 V<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 VI<br />

ISBN: 978-2-35500-010-2


Table of Contents<br />

Sachin Sachin S. Sapatnekar S. Sapatnekar<br />

Wednesday University University of 7 Minnesota, of Minnesota,<br />

October 2009<br />

USA USA<br />

Invited speaker: Sachin S. Sapatnekar, University of Minnesota, USA<br />

Temperature as a First-Class Citizen in Chip Design................................................................................ 1<br />

Sachin S. Sapatnekar<br />

Abstract- Abstract-<br />

Session With 1.1 Thermal new With technology new technology<br />

modelling: trends, trends, arising arising<br />

System through through<br />

levela<br />

a<br />

confluence confluence of factors of factors such as such Moore's as Moore's law scaling law scaling and 3D and 3D<br />

integration, integration, the role the of role thermal of thermal design design is inexorably is inexorably shifting shifting from from<br />

package-centric Toward package-centric a issues Rational issues towards towards Modeling on-chip on-chip optimizations. of optimizations. Convection.............................................................................................. This talk This talk<br />

2<br />

overviews M. overviews N. Sabry the roots the of roots this of change, this change, the circuit the circuit effects effects of elevated of elevated<br />

temperatures, temperatures, and on-chip and on-chip optimizations optimizations for effective for effective thermal thermal<br />

management. management.<br />

Equivalent Electrothermal Circuit Model for Vertical-Cavity Surface-Emitting<br />

Lasers on Silicon Optical Bench........................................................................................................................ 8<br />

C. C. Chen, C. Singh, Y. C. Chen, Hsu-Liang Hsiao, Chia-Yu Lee, Y. T. Cheng, Mount-Learn Wu<br />

Text unavailable Text unavailable at the time at the of time printing. of printing.<br />

Geothermal Cooling Solution Research For Outdoor Cabinet.................................................... 13<br />

Yuping Hong, Yuening Li, Jian Shi<br />

A New Methodology for Early Stage Thermal Analysis of Complex Electronic<br />

Systems........................................................................................................................................................................... 17<br />

O. Martins, N. Peltier, S. Guédon, S. Kaiser, Y. Marechal, Y. Avenas<br />

Session 1.2 Thermal modelling and simulation: IC level<br />

Validation Studies of DELPHI-type Boundary - Condition-Independent Compact<br />

Thermal Model for an Opto-Electronic Package.................................................................................. 23<br />

Arun P. Raghupathy, Attila Aranyosi, William Malt<br />

Spatial and temporal temperature variations in CMOS designs.................................................... 31<br />

J.H.J.Janssen, H.J.M.Veendrick<br />

Numerical Simulation of Complex Submicron Devices with Experimentally<br />

Determined Power Maps........................................................................................................................................ 36<br />

Peter E. Raad, Mihai G. Burzo, Pavel L. Komarov<br />

Design Modeling and Simulation of Electrothermally Actuated Microgyroscope<br />

Fabricated using the MetalMUMPs.................................................................................................................. 40<br />

Rana I. Shakoor, Shafaat A. Bazaz, M. M. Hasan<br />

Session 1.3 Thermal simulation: 3D chip architectures<br />

7-9 October 7-9 October 2009, 2009, Leuven, Leuven, Belgium Belgium<br />

Temperature as as a First-Class a Citizen Citizen in Chip in Chip Design Design<br />

Fine Grain Thermal Modeling of 3D Stacked Structures.................................................................. 45<br />

H. Oprins, M. Cupak, G. Van der Plas, P. Marchal, B. Vandevelde, A. Srinivasan, E. Cheng<br />

Emulation-Based Transient Thermal Modeling of 2D/3D Systems-on-Chip with Active<br />

Cooling........................................................................................................................................................................... 50<br />

David Atienza<br />

Thermal Analysis of Hot Spots in Advanced 3DStacked Structures........................................... 56<br />

C. Torregiani, B. Vandevelde, H. Oprins, E. Beyne, I. De Wolf<br />

©<strong>EDA</strong> ©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC <strong>Publishing</strong>/THERMINIC 2009 2009 VII 1 1<br />

ISBN: ISBN: 978-2-35500-010-2<br />

978-2-35500-010-2


Poster session: Introduction*<br />

7-9 October 7-9 October 2009, 2009, Leuven, Leuven, Belgium Belgium<br />

Temperature as as a First-Class a Citizen Citizen in Chip in Chip Design Design<br />

Thermal Issues of Solar Irradiation Sensor............................................................................................ Sachin Sachin S. Sapatnekar S. Sapatnekar<br />

61<br />

B. Plesz, Á. Földváry, E. Bándy<br />

University University of Minnesota, of Minnesota,<br />

USA USA<br />

Thermal Simulation and Package Investigation of Wireless Gas Sensors Microsystems... 66<br />

Andrea Paoli, Lucia Seminara, Daniele D. Caviglia, Alessandro Garibbo, Maurizio Valle<br />

Electro-thermal simulation: a new Subsystem in Mentor Graphics IC Design Flow......... 70<br />

K.O. Abstract- Abstract-<br />

Petrosjanc, With new With<br />

N.I. Ryabov, technology new technology<br />

I.A. trends, trends,<br />

Kharitonov, arising arising<br />

P.A. through through<br />

Kozynkoa<br />

a<br />

confluence confluence of factors of factors such as such Moore's as Moore's law scaling law scaling and 3D and 3D<br />

integration, integration, the role the of role thermal of thermal design design is inexorably is inexorably shifting shifting from from<br />

package-centric<br />

Thermoelectric package-centric issues issues towards<br />

Energy towards on-chip<br />

Scavenging on-chip optimizations. optimizations. from<br />

This<br />

Waste<br />

talk This talk Heat of Power Amplifier Transistors..... 75<br />

overviews Kyoung overviews Joon the roots Kim, the of roots Marc this of Hodes change, this change, the circuit the circuit effects effects of elevated of elevated<br />

temperatures, temperatures, and on-chip and on-chip optimizations optimizations for effective for effective thermal thermal<br />

management. management.<br />

Practical Realization of PTAT Sensor for ASIC Overheat Protection ........................................ 80<br />

M. Szermer, M. Janicki, Z. Kulesza, A. Napieralski<br />

Thermo-Mechanical Text unavailable Text unavailable at the time at the Reliability of time printing. of printing. Loop In Device Modeling................................................................ 84<br />

T. Bieniek, G. Janczyk, P. Grabiec, J. Szynka<br />

A Temperature-Dependent POWER MOSFET Mode1 for Switching Application........................... 87<br />

H. DIA, J.B. Sauveplane, P. Tounsi, J-M. Dorkel<br />

Crack Tip Localization of Sub-critical Crack Growth by Means of IR-Imaging<br />

and Pulse Excitation............................................................................................................................................... 91<br />

D. May, B. Wunderle, R. Schacht, B. Michel<br />

Thermal matching of a thermoelectric energy harvester with the environment<br />

and its application in wearable self-powered wireless medical sensors............................. 95<br />

V. Leonov, P. Fiorini, T. Torfs, R. J. M. Vullers, C. Van Hoof<br />

Thursday 8 October 2009<br />

Invited speaker: Suresh V. Garimella Purdue University, West Lafayette, USA<br />

Boiling Heat Transfer and Flow Regimes in Microchannels – a Comprehensive<br />

Understanding......................................................................................................................................................... 101<br />

Suresh V. Garimella, Tannaz Harirchian<br />

Session 2.1 Coupled modelling: electro/thermo/mechanical<br />

Impact of Moisture Absorption on Warpage of Large BGA packages during<br />

a lead-free reflow process.............................................................................................................................. 113<br />

B. Vandevelde, R. Deweerdt, F. Duflos, M. Gonzalez, D. Vanderstraeten, Eddy Blansaer, Guy Brizar, Renaud Gillon<br />

Evaluation of Materials for High Temperature IC Packaging...................................................... 117<br />

Robert Klieber, Renee Lerch<br />

Electro-thermal modeling of different LEP-thickness white OLEDs....................................... 121<br />

Ernő Kollár, Gusztáv Hantos<br />

Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE............ 124<br />

Torsten Hauck, Wim Teulings, Evgenii Rudnyi<br />

©<strong>EDA</strong> ©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC <strong>Publishing</strong>/THERMINIC 2009 2009 VIII 1 1<br />

ISBN: ISBN: 978-2-35500-010-2<br />

978-2-35500-010-2


Session 2.2 Thermal measurements and analysis<br />

7-9 October 7-9 October 2009, 2009, Leuven, Leuven, Belgium Belgium<br />

Temperature as as a First-Class a Citizen Citizen in Chip in Chip Design Design<br />

Pixel-by-Pixel Calibration of a CCD Sachin Camera Sachin S. Sapatnekar Based S. Sapatnekar Thermoreflectance Thermography<br />

System with Nanometer Resolution............................................................................................................ University University of Minnesota, of Minnesota,<br />

130<br />

USA<br />

Mihai G. Burzo, Pavel L. Komarov, Peter E. Raad USA<br />

Practical Study of Temperature Distribution in a Thermal Test Integrated Circuit.... 136<br />

M. Janicki, M. Szermer, S. Klab, Z. Kulesza, A. Napieralski<br />

Abstract- Abstract-<br />

CMOS Temperature With new With technology new technology<br />

Sensors trends, trends,<br />

Based arising arising<br />

on through through<br />

Thermal a a<br />

Diffusion................................................................. 140<br />

confluence confluence<br />

Caspar<br />

of<br />

van<br />

factors of factors<br />

Vroonhoven,<br />

such as such as Moore's law scaling and 3D<br />

integration, the role of thermal Mahdi<br />

Moore's<br />

Kashmiri,<br />

law scaling<br />

design is inexorably Kofi Makinwa<br />

and 3D<br />

integration, the role of thermal design is inexorably shifting shifting from from<br />

package-centric package-centric issues issues towards towards on-chip on-chip optimizations. optimizations. This talk This talk<br />

overviews High-Level overviews the roots the Thermal of roots this of change, this Profiling change, the circuit the circuit effects of Mobile effects of elevated of Applications...................................................................... elevated<br />

144<br />

temperatures, Marius temperatures, Marcu and on-chip and on-chip optimizations optimizations for effective for effective thermal thermal<br />

management. management.<br />

Session 2.3 Advanced cooling techniques I<br />

Text unavailable Text unavailable at the time at the of time printing. of printing.<br />

Hotspot-adapted Cold Plates to Maximize System Efficiency...................................................... 150<br />

Thomas Brunschwiler, Hugo Rothuizen, Stephan Paredes, and B. Michel, Evan Colgan, Pepe Bezama<br />

Optimal Channel Width Distribution of Single-Phase Microchannel Heat Sinks.............. 157<br />

T. Van Oevelen, F. Rogiers, M. Baelmans<br />

Heat transfer enhancement due to pulsating flow in a microchannel heat sink........... 163<br />

T. Persoons, T. Saenen, R. Donose, M. Baelmans<br />

Hot Spot Targeting with a Liquid Impinging Jet Array Waterblock........................................... 168<br />

D. Nikolić, M. Hutchison, P.T. Sapin, A.J. Robinson<br />

Session 2.4 Advanced cooling techniques II<br />

Towards a Dynamic System Model for a Two-Phase Cooling Loop Using Microchannels....174<br />

T. Saenen, T. Delesie, T. Persoons, M. Baelmans<br />

Heat transfer and film thickness measurements in a closed loop spray cooling<br />

system with R134a.................................................................................................................................................... 180<br />

Eduardo Martínez-Galván, Juan Carlos Ramos, Raúl Antón, Björn Palm<br />

Thermal Management of a 3D Chip Stack using a Liquid Interface to a Synthetic<br />

Jet Cooled Spreader.............................................................................................................................................. 186<br />

Krishna Kota, Pablo Hidalgo, Yogendra Joshi, Ari Glezer<br />

©<strong>EDA</strong> ©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC <strong>Publishing</strong>/THERMINIC 2009 2009 IX 1 1<br />

ISBN: ISBN: 978-2-35500-010-2<br />

978-2-35500-010-2


7-9 October 7-9 October 2009, 2009, Leuven, Leuven, Belgium Belgium<br />

Temperature as as a First-Class a Citizen Citizen in Chip in Chip Design Design<br />

Friday 9 October 2009<br />

Sachin Sachin S. Sapatnekar S. Sapatnekar<br />

Session 3.1 Special session: Nanopack I University University of Minnesota, of Minnesota,<br />

USA USA<br />

Presentation and status of the NANOPACK project.............................................................................. 192<br />

A. Ziaei, S. Demoustier<br />

Electro-Thermal Modeling of Nano-Scale Devices............................................................................ 195<br />

D. Abstract- Vasileska, Abstract- With K. Raleva, new With technology new S. M. technology Goodnick trends, trends, arising arising through through a a<br />

confluence confluence of factors of factors such as such Moore's as Moore's law scaling law scaling and 3D and 3D<br />

integration, Effects integration, of the role Quantum the of role thermal of thermal Corrections design design is inexorably is inexorably and shifting Isotope shifting from Scattering from on Silicon Thermal<br />

package-centric package-centric issues towards on-chip optimizations. This talk<br />

Properties.................................................................................................................................................................... issues towards on-chip optimizations. This talk<br />

197<br />

overviews overviews the roots of this change, the circuit effects of elevated<br />

Javier temperatures, V. Goicochea,<br />

the roots of<br />

and Marcela<br />

this change,<br />

on-chip Madrid,<br />

the circuit<br />

optimizations Cristina<br />

effects<br />

for Amon<br />

of elevated<br />

temperatures, and on-chip optimizations for effective effective thermal thermal<br />

management. management.<br />

Directional Thermal Conductivity of a Thin Si Suspended Membrane with Stretched<br />

Ge Quantum Dots..................................................................................................................................................... 203<br />

Jean-Numa Text Gillet, unavailable Bahram at Djafari-Rouhani, the time of printing. Yan Pennec<br />

Text unavailable at the time of printing.<br />

Invited speaker: Vladimir Székely BUTE, Hungary<br />

Thermal Transient Measurements: the State of the Art................................................................. 209<br />

Vladimir Székely<br />

Session 3.2 Special session: Nanopack II (Thermal interface materials)<br />

Characterization of Metal Micro-Textured Thermal Interface Materials........................ 210<br />

Roger Kempers, Anthony Robinson, Alan Lyons<br />

Carbon Nanotube Enhanced Thermally Conductive Phase Change Material<br />

For Heat Dissipation............................................................................................................................................... 216<br />

Xinhe Tang, Ernst Hammel, Werner Reiter<br />

Method for In-Situ Reliability Testing of TIM Samples..................................................................... 219<br />

Andras Vass-Varnai, Zoltan Sarkany, Marta Rencz<br />

Progress in Thermal Characterisation Methods and Thermal Interface Technology<br />

within the “Nanopack” Project..........................................................................................................................224<br />

B. Wunderle, M. Abo Ras, M. Klein, R. Mrossko, G. Engelmann, D. May, O. Wittler, R. Schacht, L. Dietrich,<br />

H. Oppermann, B. Michel<br />

Autor Index....................................................................................................................................................................... 233<br />

©<strong>EDA</strong> ©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC <strong>Publishing</strong>/THERMINIC 2009 2009 1X 1<br />

ISBN: ISBN: 978-2-35500-010-2<br />

978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Temperature as a First-Class Citizen in Chip Design<br />

Sachin S. Sapatnekar<br />

University of Minnesota,<br />

USA<br />

Abstract- With new technology trends, arising through a<br />

confluence of factors such as Moore's law scaling and 3D<br />

integration, the role of thermal design is inexorably shifting from<br />

package-centric issues towards on-chip optimizations. This talk<br />

overviews the roots of this change, the circuit effects of elevated<br />

temperatures, and on-chip optimizations for effective thermal<br />

management.<br />

Text unavailable at the time of printing.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 1<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Toward a Rational Modeling of Convection<br />

M. N. Sabry<br />

French University in Egypt, Shourouk, EGYPT<br />

Present address: Mansoura University, Mansoura, EGYPT,<br />

Abstract - The thermal design of huge systems, huge in terms of<br />

number of components not their size, enforces the usage of<br />

dedicated SW packages in which each component needs to be<br />

modeled adequately. Detailed 3D modeling is not possible at early<br />

design phases. An adequate compact thermal model (i.e. one with<br />

very few degrees of freedom) of each component should be used<br />

instead. It must be cast in a form that makes it usable in SW<br />

packages, to model the behavior of each component regardless of<br />

surrounding objects. This fact has long been recognized for<br />

thermal conduction problems, which was solved using the socalled<br />

Boundary Condition Independent (BCI) models. For<br />

convection, the model universally admitted is that of the Heat<br />

Transfer Coefficient (HTC), which is obviously not BCI. It can<br />

always be used for small systems using a spread sheet that will<br />

have to be manually readapted for each new system topology. For<br />

large systems, automated BCI model generation is mandatory,<br />

which is the objective of this work. In this work, a new approach<br />

is proposed that generalizes achievements in building BCI<br />

compact models for thermal conduction to thermal convection. It<br />

offers many advantages over classical HTC models, including in<br />

particular its ability to handle conjugate heat transfer problems in<br />

a much more accurate, although a bit more involved, way. The<br />

level of complexity remains orders of magnitude less than full 3D<br />

analysis, which makes the proposed approach adapted for<br />

preliminary design phases.<br />

I. INTRODUCTION<br />

Heat Transfer Coefficient (HTC) by convection has been used<br />

since more than a century with success by engineers worldwide<br />

to address practical problems and get rapidly an estimate<br />

allowing them to take adequate engineering decisions. The<br />

alternative would have been either to do costly experiments or<br />

time consuming detailed calculations which are either<br />

unavailable or undesirable at early design phases. They can be<br />

unavailable if for instance the supplier does not wish to reveal<br />

details under intellectual property rights. They are undesirable<br />

because of the large amount of data and CPU time that have to<br />

be supplied, while design main features are not yet set. The<br />

success of HTC modeling approach is in part due to the<br />

backing of a rigorous similarity theorem extending the validity<br />

of a relatively small set of experimental results to a<br />

significantly wider range of applications.<br />

When designing systems containing a huge number of<br />

components, dedicated SW packages should be used. This will<br />

require a model describing overall component behavior in a<br />

simple way, i.e. with very few degrees of freedom, which will<br />

be called here a compact model. A mandatory property of such<br />

models is to describe component physical behavior, i.e. its<br />

intrinsic flux-potential relation, regardless of neighboring<br />

objects nature. This property has long been recognized for<br />

thermal conduction, under the name of Boundary Conditions<br />

Independence (BCI). Models not satisfying this condition are<br />

useless in large system simulation unless we provide a whole<br />

set of models, one for each possible combination, which is<br />

obviously not adequate. In hand, or spread sheet assisted,<br />

calculations of rather small systems, thermal engineers have<br />

sufficient experience to build adequate models for each<br />

topology. These models will have to be revised for different<br />

topologies (see below) which is obviously not adequate for<br />

automated calculations of large systems.<br />

In the next section it will be shown why the concept of HTC<br />

fails to satisfy the BCI condition and what are the<br />

consequences. In fact, the apparent simplicity of HTC is both<br />

one of the main reasons of its success as well as its<br />

weaknesses. Discrepancies of the order of a factor of 4 or more<br />

were reported between different experimental results by<br />

different research teams for the same geometry and under<br />

seemingly the same conditions. Discrepancies are so frequent<br />

and so important that they cannot be simply explained by noncareful<br />

experimental measurements of all teams other than my<br />

own team! What if there was a fundamental reason behind<br />

them What if the concept of HTC was too simplistic to be an<br />

adequate model even for rough calculations of a precision of<br />

say 50-100%<br />

In this work, a suggested generalization of the BCI approach<br />

used earlier for thermal conduction to thermal convection<br />

problems will also be presented, starting from basic principles<br />

through a careful analysis of assumptions needed to reach the<br />

well known equation named ‘Newton’s law of cooling’<br />

(although Newton can claim innocence because he never<br />

postulated it!):<br />

Q = h A (T w – T f ) (1.1)<br />

where Q is the heat transfer rate, T w and T f are respectively<br />

‘representative’ wall and fluid temperatures, A is the area<br />

across which heat flows and finally h is our HTC.<br />

II. GENERAL FEATURES IMPLIED BY PHYSICS<br />

The starting point would be the governing partial differential<br />

equations. Only forced convection with uniform physical<br />

properties will be considered in order to keep the problem<br />

linear. Since the objective is fundamental, to understand why a<br />

given modeling approach is better than the other, and since<br />

convection is a sufficiently complicated physical phenomenon,<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 2<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

the inclusion of nonlinear effects would be inappropriate at that<br />

level. In a domain , bounded by the closed surface , the<br />

following equation holds at steady state:<br />

with node i[1, N] is denoted i . Its extent, which can be an<br />

area or a volume, will be denoted S i .<br />

The following modified Green’s function G has been proposed<br />

2<br />

c v T<br />

T q v<br />

(2.1)<br />

earlier which satisfies:<br />

where v is a given velocity vector field, c and are<br />

respectively fluid density, heat capacity and thermal<br />

conductivity. Finally q v is the rate of volumetric heat<br />

generation. In case we transform this equation into a<br />

dimensionless one, we get, keeping the same symbols for<br />

dimensionless v T and q v as their dimensional counterparts:<br />

2<br />

Pe v T<br />

T q v<br />

(2.2)<br />

where Pe is the Peclet number Pe = v D/ (where v is a<br />

characteristic fluid velocity, D a characteristic length and <br />

thermal diffusivity).<br />

Let us analyze implications of (2.2) in its own on the sought for<br />

compact model. Boundary conditions will be postponed to a<br />

subsequent step in order to concentrate on what is general,<br />

embodied by (2.2) as compared to what is problem dependent,<br />

which is specified by the particular set of boundary conditions.<br />

First of all, linearity of (2.2) implies linearity of the sought for<br />

compact model. The HTC model (1.1) is linear, but it is only a<br />

very particular form of a linear relation between the flux (Q)<br />

and the potential difference (T). There are many other linear<br />

forms like the matrix form or the integro-differential form:<br />

qi<br />

j<br />

hij<br />

T<br />

j Tref<br />

<br />

(2.3)<br />

T<br />

a Q d<br />

bQ dx <br />

cQdx<br />

(2.4)<br />

In (2.3), q i and T j refer respectively to the heat flux density and<br />

the temperature at different points, while T ref is any suitable<br />

reference temperature. In (2.4), x is a relevant space coordinate,<br />

while a, b, and c can be either constants or space dependent<br />

functions. Any of these forms are linear. We still have to<br />

choose a form that respects problem physics while keeping the<br />

level of complexity relatively low, to let the compact model be<br />

practical. Note that the operator acting on the unknown T<br />

contains an odd order derivative (the LHS) which means it is<br />

NOT a self similar operator. Hence, the temperature field<br />

cannot manifest the same dependence on upstream and<br />

downstream conditions (w.r.t. to the direction of v). It is wellknown<br />

that the higher is Pe the less would downstream<br />

conditions be able to affect heat transfer. For vanishingly small<br />

Pe, we recover the conduction case where both upstream and<br />

downstream conditions have the same effect on heat transfer.<br />

III. DERIVING THE MOST GENERAL FORM<br />

The shape of the general linear relation between flux and<br />

potential will be derived here without specifying boundary<br />

conditions in order to obtain a general BCI model. Boundary<br />

conditions will be introduced later in the analysis. Let us define<br />

a node as being a surface or a volume across which heat flows<br />

into or out from the system. The sub-domain of , associated<br />

Pe v G<br />

2<br />

r,<br />

r'<br />

Gr,<br />

r'<br />

<br />

r<br />

r'<br />

<br />

in (3.1)<br />

together with the following set of boundary conditions on the<br />

auxiliary function G (not T) on :<br />

1<br />

S1<br />

r 1<br />

n G<br />

Pen<br />

vG<br />

<br />

0 r 1<br />

(3.2)<br />

where 1 is the sub-domain associated with node 1, which will<br />

be called henceforth the reference node, while S 1 is its extent.<br />

The function G can be analytically obtained only in very<br />

simple cases. But this is not an obstacle, as it may also be<br />

obtained numerically in all cases. In fact, what matters is that it<br />

exists! Multiplying (2.2) by G and (3.1) by T, subtracting and<br />

integrating over the whole problem domain with respect to r<br />

we get after some algebra:<br />

T<br />

r'<br />

Tref<br />

Gr,<br />

r'<br />

q<br />

v rdr<br />

Gr,<br />

r'<br />

q<br />

s r<br />

<br />

<br />

in which q s<br />

T ref Tdr A<br />

<br />

1 is the reference temperature.<br />

1<br />

dr<br />

(3.3)<br />

n T<br />

is the dimensionless heat flux density and<br />

Equation (3.3) can be simplified further by recognizing that<br />

surface heat flux density q s or volume internal heat generation<br />

q v are only nonzero over domain nodes, hence will both be<br />

denoted by the unified symbol q i for any node i. Let us denote<br />

by r i values of r i . Equation (3.3) becomes:<br />

N<br />

ri<br />

Tref<br />

j<br />

Gr<br />

ri<br />

<br />

T T<br />

1<br />

, q dr<br />

i<br />

j<br />

j<br />

i[1, N] (3.4)<br />

This equation relates node temperatures T i = T(r i ) – T ref with<br />

node heat power densities q i (per unit area q s or per unit volume<br />

q v , whichever is relevant) on all problem nodes. It incorporates<br />

the intrinsic object behavior based on governing partial<br />

differential equation (2.2) but NOT externally applied<br />

boundary conditions on T i or q i , which were not specified to<br />

obtain it. It holds for any set of boundary conditions Dirichlet,<br />

Neumann or Robin. It contains thus all problem physics. There<br />

can be other techniques to express the general solution of (2.2)<br />

(‘general’ in the sense of ‘regardless of the particular set of<br />

boundary conditions’) without passing explicitly or implicitly<br />

by the Green’s function. However, since the solution is unique,<br />

other approaches should yield an expression perfectly<br />

equivalent to (3.4). As no ‘physical’ simplifying assumption<br />

has yet been introduced (apart from that of forced convection<br />

with uniform physical properties) (3.4) is the most general<br />

form of the steady functional relation between heat power q i<br />

(by unit volume q v or by unit area q s ) and T i . The only<br />

approximation needed is that of obtaining G, which can readily<br />

be done either numerically or experimentally.<br />

In case we had only two nodes (for instance wall and fluid at<br />

infinity) one of them can be the reference, the other will be the<br />

space dependent difference T (r). If in addition we had a<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 3<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

uniform heat flux q i (r) = q, the heat flux density can be taken<br />

M<br />

1 u u<br />

T ri<br />

Tref<br />

<br />

u0<br />

Ti<br />

i ri<br />

<br />

out of the integral in (3.4). The integral of the known modified<br />

(4.1)<br />

Green’s function G will give a known space function that will<br />

M<br />

<br />

be expressed as 1/H(r):<br />

1 u u<br />

q ri <br />

u0<br />

q i i ri<br />

<br />

(4.2)<br />

q = H (r) T (r) (3.5) where M is the number of modes retained (this number need<br />

This looks like the Newton’s law of cooling (1.1), but now we not be the same on all nodes, but we will assume it constant for<br />

know the assumptions. Only 2 nodes and uniform heat flux. In<br />

u<br />

simplicity), i is the element of order u of the known function<br />

the other extreme of an imposed uniform temperature<br />

u<br />

difference T, with still only 2 nodes, equation (3.4) becomes a series (Fourier, Legendre …) for the node i and finally T i and<br />

Helmholtz integral equation of the first kind in q i (r). It does not u<br />

qi<br />

are expansion coefficients. Hence, temperature and heat<br />

matter at all how we can solve it at this level (we can always do<br />

flux density fields are replaced by two vectors of size NxM<br />

that numerically at least), all what matters that is solvable and<br />

each (M series elements on each of the N nodes). Let us denote<br />

will give an expression of the form:<br />

these vectors by T and q. Substituting (4.1) and (4.2) in (3.4),<br />

q (r) = H' (r) T (3.6)<br />

Evidently, H and H' are not the same. Both were obtained via<br />

an assumption that depends on the wall thermal conductivity<br />

(respectively much lower or much higher than that of the<br />

fluid). This assumption depends on the nature of surrounding<br />

objects (the wall), which makes the HTC model boundary<br />

conditions dependent, an undesirable property as explained<br />

above. It would also work only for 2-node objects which is too<br />

restrictive.<br />

What have we gained by passing through the most general<br />

form (3.4) before getting the ‘over’ simplified expression (3.5)<br />

or (3.6) Mainly, that we can now make a less crude<br />

assumption transforming (3.4) into a slightly more complicated<br />

form than (1.1) but significantly closer to reality. This will be<br />

done in the next section, but before doing so, let us comment<br />

on the following property of (3.4). Temperatures T i at any point<br />

in each node depend on q at all points in all nodes. In other<br />

physical words, the local value of the thermal boundary layer,<br />

hence the local thermal ressistance depends on all the past<br />

history of heat transfer in the upstream section. Likewise, from<br />

the Helmholtz integral equation, q at any point depends on T at<br />

all points. In (3.4) profile information of both T i and q i are<br />

captured, but not in (3.5, 6). They DO influence each other as<br />

shows (3.4).<br />

IV. PRACTICAL SIMPLE FORMS<br />

In practice, people will not use sophisticated Green's notion to<br />

obtain "engineering" models. However, a direct analog of the<br />

Green's function is the Matrix, to which the Green's function<br />

can be reduced to in any numerical approximation. But before<br />

we sketch a method to construct this matrix, we have to find a<br />

simple way to express profile information on each node. There<br />

are usually two approaches. The first is the so called nodal<br />

approach, in which both temperature and heat power density<br />

are approximated by an interpolation function using<br />

temperature values at many points inside the node. The second<br />

approach is the ‘modal’ approach, in which the temperature, as<br />

well as heat power density, along each node are approximated<br />

by a series expansion over a given well-known functional<br />

series, preferably orthogonal (Fourier, Legendre, …). The<br />

second approach will be selected, giving:<br />

multiplying by<br />

T <br />

R<br />

u<br />

i and integrating over each node gives:<br />

q<br />

where matrix R contains the elements<br />

R<br />

<br />

uv<br />

u v<br />

ij <br />

G r <br />

i j<br />

j , ri<br />

i j dr<br />

j dri<br />

<br />

(4.3)<br />

uv<br />

R ij , which are given by:<br />

(4.4)<br />

Elements of R are of dimension 1/HTC. In case R was<br />

diagonal, we get the Newton’s law of cooling. It can only be<br />

true if heat transferred at a point depends on the local<br />

temperature difference, regardless of upstream or downstream<br />

conditions. This is in flagrant contradiction with boundary<br />

layer theory as will be developed in next section. This<br />

approach represents a generalization of the "adiabatic heat<br />

transfer coefficient" suggested earlier [3] as well as the flexible<br />

profile approach also suggested earlier [7] for conduction.<br />

Available HTC data can be reused to get at least few of the<br />

elements in the sought for R matrix or its inverse the H matrix.<br />

In fact, the order zero element in any functional series is<br />

0<br />

0 0<br />

usually the uniform one: i const.<br />

. Hence, T i and q i are<br />

respectively the uniform parts of temperature and heat power<br />

densities. This means that in case we had a uniform<br />

temperature profile Ti u 0 for u 0.<br />

(or a uniform heat flux<br />

profile q u i 0 for u 0.<br />

), then it is expected to find the well<br />

known uniform T (or uniform Q) HTC in R (or its inverse H).<br />

V. WHY IS HTC INADEQUATE<br />

a. HTC cannot model multiple heat sources<br />

Let us first introduce the concept of a “node”. It is defined as a<br />

region in space (a surface or a volume) which participates in<br />

heat transfer, by receiving a certain heat power Q [in W] that<br />

depends on its own temperature T as well as that of other<br />

neighboring nodes. Of course the region may have a<br />

distribution of temperatures (also called a profile); but this<br />

issues will be postponed to the next subsection. In case we<br />

only have two nodes, labeled 1 and 2, then we may write the<br />

so called Newton’s law of cooling:<br />

Q 1 = h 1 S 1 (T 2 – T 1 ) (5.1)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 4<br />

ISBN: 978-2-35500-010-2


(where Q i is heat power entering node i), together with the<br />

first law:<br />

Q 1 + Q 2 = 0 (5.2)<br />

Where S i is the ‘extent’ of the node i (its area or volume) and<br />

h i is the HTC based on the ‘extent’ S i . Using (5.1) and (2) it is<br />

evident that:<br />

Q 2 = h 2 S 2 (T 1 – T 2 ); h 1 S 1 = h 2 S 2 = H (5.3)<br />

Both h 1 and h 2 derive from the same quantity H, which are<br />

simply expressed with different reference extents. We will call<br />

H the ‘extensive’ HTC.<br />

The heat transfer coefficient HTC is a scalar quantity that can<br />

only relate 2 values:<br />

- One and only one heat power Q (in W), flowing from<br />

node 1 to node 2<br />

- One and only one temperature difference T<br />

between the two nodes.<br />

In reality, there are many industrial applications where<br />

multiple heat sources and sinks exist and interact, for instance<br />

air flow over a PCB with many chips each dissipating a variable<br />

amount of heat, or a room with many windows and<br />

appliances, etc.<br />

This has been pointed out long time ago through the concept<br />

of adiabatic heat transfer coefficient [3].<br />

Assuming we have N nodes, then the only way we can<br />

describe a linear relation between Q i and T i (i [1, N]) is a<br />

matrix of values H ij :<br />

Q i = j H ij T j (5.4)<br />

In the special case of heat transfer between two nodes only this<br />

reduces to:<br />

Q<br />

<br />

Q<br />

1<br />

2<br />

<br />

H<br />

<br />

H<br />

H T<br />

<br />

H T<br />

1<br />

2<br />

<br />

<br />

<br />

(5.5)<br />

which is simply another way to write equations (5.1) and (5.2).<br />

But using the matrix notation gives us a framework to extend<br />

the classical HTC for the case of multiple heat sources. Note<br />

that the sum of all elements in any column as well as the sum<br />

of all elements in a column should both be zero by virtue of<br />

the first law and second laws respectively [5]. Hence, in a 2x2<br />

matrix, we only have one independent parameter H, which<br />

means we could have simply used (1). But in a system with N<br />

heat sources we have to use matrix notation, having the order<br />

N – 1 at most.<br />

B. HTC cannot incorporate distributive or profile<br />

information<br />

In standard heat transfer textbooks, one may find many widely<br />

accepted correlations for HTC for different configurations.<br />

7-9 October 2009, Leuven, Belgium<br />

Even if we confine ourselves to a given standard 2-node case,<br />

for instance forced convection in a straight circular tube, than<br />

we still do not have only one correlation, but many! We have<br />

the uniform heat flux and uniform temperature cases to begin<br />

with. Both are unrealistic cases, because walls across which<br />

heat is transferred do have a finite thermal resistance. Actual<br />

distribution (i.e. profile) of either heat or temperature at the<br />

solid liquid interface would depend on the ratio of solid to<br />

liquid thermal conductivities as well as wall thickness to tube<br />

diameter, etc. In fact, all real cases are conjugate heat transfer<br />

problems. Building mega correlations incorporating both fluid<br />

and solid walls properties would lead to a complexity that is<br />

practically impossible to handle. For instance, what if the walls<br />

were made of two or more layers<br />

The only practical solution is to build a convenient model for<br />

each domain alone (one for the fluid, one for each solid layer<br />

…) that depends on domain intrinsic behavior and NOT on<br />

externally applied boundary conditions: The so-called<br />

Boundary Condition Independent (BCI) model [6]. It has long<br />

been recognized for conduction problems that models failing<br />

to meet the BCI condition are of quite limited utility, since<br />

would have to rebuild a new model for each new usage case.<br />

It remains to generalize this observation for convection. The<br />

attribute ‘uniform Q’ or ‘uniform T ’ that we have to specify<br />

for any HTC correlation means that HTC definitely fails to<br />

meet the BCI condition.<br />

One may argue that profile dependence is not very important:<br />

For laminar flow in circular ducts, Nu goes from 3.66 for<br />

uniform T to 4.36 for uniform Q. The counter arguments are,<br />

first, there is no formal proof that the difference remains<br />

limited in all possible geometric configurations. Second, there<br />

is at least one case where profile dependence is known to be<br />

high, which is dependence on inlet temperature profile. The<br />

latter case is also called the ‘developing profile’.<br />

We do have a whole set of correlations for the above<br />

mentioned case. They predict development lengths (also<br />

called entrance length L e ) assuming that inlet temperature<br />

profile is flat. The HTC in the development zone can be quite<br />

different than that of the fully developed zone. The point is<br />

the following:<br />

Can we rely on existing L e correlations to predict behavior in<br />

short tubes<br />

The answer is unfortunately no!<br />

In fact, the actual development zone extent can vary a lot<br />

depending on the actual inlet T profile, which is certainly not<br />

the one used to obtain the correlation! It can go from zero, if<br />

the inlet profile was the same as that of the fully developed<br />

zone, to much more than the ‘standard’ L e (based on flat<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 5<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

profile), if the inlet profile was reversed w.r.t. to the<br />

developed zone profile.<br />

Q w = m c (T out – T in )<br />

Q w = H (T w – T b )<br />

(5.8)<br />

(5.9)<br />

There can be different tracks to incorporate profile<br />

dependence in H in order to let it be BCI (see section 3). One<br />

of them is the flexible profile approach [7]. But in all cases, this<br />

self-contained H that would be capable of adjusting itself to<br />

meet each usage case cannot be composed of a single<br />

number, but rather a whole set of numbers, which can be<br />

arranged in a matrix that encompasses matrix H ij mentioned<br />

Where Q w is the heat power added at the wall, m is the mass<br />

flow rate while T out , T in , T w and T b are respectively outlet,<br />

inlet, wall and bulk temperatures. How many temperatures,<br />

and hence how many nodes do we need to consider The<br />

minimum is 3 because bulk temperature can be considered as<br />

a weighted average of inlet and outlet temperatures:<br />

earlier.<br />

T b = T out + (1 – ) T in (5.10)<br />

B. HTC is symmetric, while convection is not<br />

Convection is by nature a non symmetric phenomenon<br />

because upstream and downstream conditions have different<br />

effects on heat transfer. This can be seen from the governing<br />

energy equation, which reads for steady flow with uniform<br />

physical properties:<br />

2<br />

c v T<br />

T <br />

(5.6)<br />

where v is a given velocity vector field (we only consider<br />

forced convection), c and are respectively fluid density,<br />

heat capacity and thermal conductivity. Finally q v is the rate of<br />

volumetric heat generation. In case we transform this<br />

equation into a dimensionless one, we get, keeping the same<br />

symbols for dimensionless v T and q v as their dimensional<br />

counterparts:<br />

2<br />

q v<br />

q v<br />

Pe v T<br />

T <br />

(5.7)<br />

where Pe is the Peclet number Pe = v D/ (where v is a<br />

characteristic fluid velocity, D a characteristic length and <br />

thermal diffusivity). The operator acting on the unknown T<br />

contains an odd order derivative (the LHS) which means it is<br />

NOT a self similar operator. Hence, the temperature field<br />

cannot manifest the same dependence on upstream and<br />

downstream conditions (w.r.t. to the direction of v). It is wellknown<br />

that the higher is Pe the less would downstream<br />

conditions be able to affect heat transfer. For vanishingly<br />

small Pe, we recover the conduction case where both<br />

upstream and downstream conditions have the same effect on<br />

heat transfer.<br />

Modeling this non symmetric phenomenon with H is<br />

inadequate because H is the inverse of a resistance, which is a<br />

perfectly symmetric element. Let us look at the implications<br />

by considering the simplest ever problem of a straight circular<br />

duct heated at its walls according to any known profile. The<br />

complete model should involve a conservation equation (the<br />

first law) and a constitutive equation (the one involving HTC)<br />

like for instance:<br />

where, for simplicity, is considered as a known coefficient<br />

(0, 1). If we wish to confine ourselves to resistive networks<br />

(as suggests the usage of H), then we can have up to 3<br />

resistors relating the three nodes: R w_in , R w_out and R in_out .<br />

Values of these resistors are:<br />

R in_out = 1 / (m c)<br />

R w_out = 1 / ( H)<br />

R w_in = 1 / ((1 – H)<br />

(5.11.a)<br />

(5.11.b)<br />

(5.11.c)<br />

Now, if heat is added at the walls, then T out > T in , hence the<br />

model above predicts that heat should flow from outlet to<br />

inlet with an amount that is proportional to the mass flow<br />

rate! This unphysical behavior is due to the choice of a<br />

resistive based model, which does not comply with the<br />

problem nature. In case we use the matrix representation,<br />

then this matrix should be non-symmetric. More will be given<br />

on the form of this proposed matrix in section 3. Please note<br />

that this matrix should contain, among other parameters, the<br />

parameter Pe, in a form that transforms the matrix into<br />

symmetric if Pe was vanishingly small (i.e. a pure conductive,<br />

hence a pure resistive, i.e. a perfectly symmetric case).<br />

C. HTC cannot model transient effects<br />

The HTC largely depends on the boundary layer thickness,<br />

which for transient problems is time dependent. A sudden<br />

heating of the walls, of an otherwise isothermal flow, creates<br />

a time dependent thermal boundary layer of initial thickness 0<br />

all over the duct. The HTC is thus virtually infinite at start of<br />

heating. It will gradually damp to the steady state values with<br />

a time constant that depends mainly on fluid (and wall!)<br />

thermal capacity.<br />

Some researchers have proposed to use the quasi-static<br />

approximation, i.e. an instantaneous H(t) based on available<br />

steady state correlations in which we would insert<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 6<br />

ISBN: 978-2-35500-010-2


instantaneous temperature T(t). The author does not believe<br />

this is a track to follow [8]. In fact temperature effects on the<br />

values of HTC in any correlation are exerted only through<br />

physical properties. In case fluid properties were temperature<br />

independent, the HTC would be time independent and hence<br />

would not capture the time constant needed to build the<br />

thermal boundary layer. Besides, any solution that does not<br />

incorporate the boundary layer thermal capacitance is vowed<br />

to failure in correctly modeling transient heat transfer.<br />

We have to model transient heat transfer by convection using<br />

a mathematical model that can be for instance of the<br />

following form:<br />

Q = H (T) + C th d(T)/dt (5.12)<br />

where C th is a new coefficient, acting like a thermal<br />

capacitance, taking and c into consideration (H considers<br />

only thermal conductivity ).<br />

Of course we will still have to upgrade the coefficient C th the<br />

same way we need to upgrade H, i.e. include profile sensitivity<br />

and multiple heat sources transforming it thus to a matrix.<br />

Let me suggest a new dimensionless number, the analog of<br />

Nusselt number Nu, for C th :<br />

C th /( c D) (5.13)<br />

This new dimensionless number needs to be first adopted, and<br />

then extensive experiments (physical or numerical) must be<br />

performed to characterize it.<br />

VI.<br />

Conclusion<br />

The heat transfer coefficient HTC can be quite misleading in<br />

case it is used for a case that is different from the one used to<br />

extract it. Even if the geometry was perfectly the same as that<br />

used for extracting HTC, results may still be quite different<br />

depending on wall and inlet profiles. This may explain why<br />

published correlations for the same geometry may show large<br />

discrepancies. For the same reason, using HTC in a conjugate<br />

problem may give results that are quite different from reality.<br />

The HTC only relates heat transfer between two bodies.<br />

Because it is a single scalar number, there is no way it can<br />

model multi-body problems with variable heat load on each<br />

body.<br />

HTC is a static parameter that can by no means model<br />

transient effects, without adding more parameters.<br />

Last but not least, due to its symmetric character, which<br />

contradicts the asymmetric nature of convection, it cannot be<br />

used in standard system simulators. Conferring it to hand<br />

calculations is a serious disadvantage.<br />

All these problems seem to be solvable in case we replace the<br />

single HTC scalar number by a matrix of numbers relating<br />

7-9 October 2009, Leuven, Belgium<br />

many “temperatures” with many “heat powers”. In case<br />

transient effects were to be considered, a second matrix<br />

should be added relating time rate of change of temperatures<br />

with heat powers.<br />

Which “temperatures” and which “heat powers” are we<br />

willing to correlate The simplest answer would be “average<br />

values”. In this case the matrix degenerates into a scalar<br />

number, which is our good old HTC. But this description is too<br />

simplistic to model many real life problems as shown in this<br />

paper. There are at least two different approaches to define<br />

the required set of temperatures and heat powers, the socalled<br />

“nodal” and “modal” approaches. The latter seems to<br />

be preferable, but the community may find other more<br />

suitable approaches. The approach to follow should be<br />

accepted by the community in order to standardize exchange<br />

of heat transfer data. The community is invited to commonly<br />

prepare a white paper on modeling convection [4].<br />

REFERENCES<br />

[1] C. Lasance, “Sense and nonsense of heat transfer correlations<br />

applied to electronics cooling”, Proceedings of the 6th International<br />

Conference on Thermal, Mechanical and Multi-Physics Simulation and<br />

Experiments in Micro-Electronics and Micro-Systems, 2005. EuroSimE 2005,<br />

pp 8-16<br />

[2] R. Moffat, “Do’s and Don’ts in Thermal Management”, 2 nd<br />

International Conference on Thermal Issues in Emerging Technologies, Theory<br />

and Applications, ThETA2/144, Cairo, December 2008, pp.125 – 132.<br />

[3] R.J. Moffat, & A.M. Anderson, Applying Heat Transfer<br />

Coefficient Data to Electronics Cooling, Journal of Heat Transfer, Vol. 112,<br />

pp. 882-890, 1990.<br />

[4] http://www.thetaconf.org/Theta08/blog.htm<br />

[5] M. N. Sabry, Compact Thermal Models for Electronic Systems, IEEE –<br />

CPT, Part A, Vol. 26, pp. 179-185, 2002.<br />

[6] C. Lasance; D. Den Hertog and P. Stehouwer, “Creation and<br />

Evaluation of Compact Models for Thermal Characterisation Using Dedicated<br />

Optimisation Software”, 15 th Annual IEEE Semiconductor Thermal<br />

Measurement and Management Symposium, SEMI-THERM, p.1, 1999<br />

[7] M.N. Sabry, High Order Compact Thermal Models,<br />

Transactions of IEEE / Components, Packaging and Manufacturing<br />

Technology, Vol. 28, No.4, pp 623-629, 2005<br />

[8] Compact Thermal Models For Internal Convection; Sabry, M.-N.;<br />

2005; Transactions of IEEE / Components, Packagging and Mnufacturing<br />

Technology, Vol. 28, No.4, pp 623-629<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 7<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Equivalent Electrothermal Circuit Model for<br />

Vertical-Cavity Surface-Emitting Lasers on Silicon<br />

Optical Bench<br />

C. C. Chen 1 , C. Singh 1 , Y. C. Chen 1 , Hsu-Liang Hsiao 2 , Chia-Yu Lee 2 , Y. T. Cheng 1 , and Mount-Learn Wu 2<br />

1 National Chiao Tung University, Dept. of Electronics Engineering, Hsinchu 300, Taiwan, R.O.C.,<br />

2 National Central University, Institute of Optical Sciences, Jhongli 32001, Taiwan, R.O.C.<br />

Abstract-This paper physically and conceptually provides a<br />

general electrothermal network π-model. Basing on the<br />

proposed network π-model, an equivalent electrothermal circuit<br />

model (ETCM) and the associated thermal behavior analysis are<br />

also demonstrated for the SiOB with VCSELs in terms of<br />

characteristics of device materials and geometries. The<br />

introduced complicated structure of VCSELs constructed in<br />

simulators can be greatly simplified by using the equivalent<br />

ETCM to predict the probable thermal flow paths, and<br />

eventually can achieve the goal of CPU time-saving without<br />

having complex mesh studying or scaling. In the case,<br />

comparison results between measured data, simulation and the<br />

equivalent ETCM calculation show an excellent temperature<br />

matching within ±2°C as well as achieving 90% CPU<br />

time-saving.<br />

Keywords: equivalent electrothermal circuit model, general<br />

electrothermal network π-model, SiOB, VCSELs.<br />

this paper, by analog with a common π-circuit model, we<br />

physically and conceptually introduced a general<br />

electrothermal network π-model, shown in Fig. 1. Meanwhile,<br />

an equivalent ETCM established according to the network<br />

π-model is also presented for the thermal behavior analysis of<br />

silicon optical bench (SiOB) with vertical-cavity<br />

surface-emitting lasers (VCSELs) as shown in Fig. 2 for<br />

160Gbp/s high speed interconnected optical data<br />

communication application.<br />

Since late 1980’s, it has been proposed to utilize silicon<br />

substrate as a cost effective functional carrier to integrate<br />

optical and microelectronic components. The implementation<br />

I. INTRODUCTION<br />

Inevitable non-uniform thermal effect due to increasing<br />

power dissipation within intensive operating chips has been<br />

one of significant hindrances for the developments of next<br />

generation high performance microsystem [1-3], that would<br />

promote the design consideration of associated configurations<br />

and arrangements of device packaging and cooling system,<br />

and limitation of maximum power in IC design stage [2].<br />

Therefore, there has been a drastic proliferation of strategy<br />

and technique concerned with the predictions of thermal<br />

effect on microsystem performance and reliability in terms of<br />

circuit design. So far, the establishment of equivalent<br />

electrothermal circuit model (ETCM) is the most efficient<br />

thermal analysis scheme which can be easily incorporated<br />

with CAD tool for optimal system-IC designs to avoid<br />

unexpected functionality degradation or even device failure<br />

due to excess thermal accumulation. In comparison with<br />

other analysis methods for the predictions of non-uniform<br />

thermal effect, such as numerical solutions based on<br />

Laplace’s equation [2], finite-element analysis (FEA), or<br />

boundary element method (BEM) for simulators [5-7],…etc.,<br />

ETCM can effectively avoid the issues of data unwieldiness<br />

and time-consuming due to complicated boundary conditions<br />

resulted by system configuration. Nevertheless, the proposed<br />

ETCM analysis is still case-dependent which requires detail<br />

system configurable for model development. Therefore, in<br />

Fig. 1. Scheme of the general electrothermal network π-model. By<br />

analysis with the common π-circuit model, there are three main blocks,<br />

heating source, propagated resistance, and common base resistance, are<br />

adopted to present the thermal source, thermal flow path, and the common<br />

base, respectively.<br />

SiOB<br />

4700µm<br />

Contact Pad<br />

Ground<br />

BCB<br />

Thermal Via<br />

625µm<br />

VCSELs<br />

Fig. 2. Scheme of the Vertical-Cavity Surface-Emitting Lasers<br />

(VCSELs) on Silicon Optical Bench (SiOB). It is obviously that there<br />

should be complicated thermal behavior inside the SiOB due to its large<br />

volume and aspect ratio. The insertion of upper-right corner shows<br />

complicated structure of the VCSELs, the adjacent contact pads, and the<br />

thermal via in detail.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 8<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

of the silicon bench can greatly reduce package size and<br />

interconnection length within components, which result in<br />

reduction of parasitic capacitance and inductance in the<br />

package suitable for high-speed applications. Meanwhile, the<br />

SiOB provides exclusive advantages of high precisely optical<br />

alignment for low insertion loss coupling from optical<br />

components to fiber core, good thermal mismatch, excellent<br />

thermal conductivity, and better resistor fabrication for<br />

impedance matching of signal transmission. On the other<br />

hand, SiOB still has its intrinsic shortcoming. Silicon<br />

substrate is lossy material in the RF/microwave/millimeter<br />

wave regimes. Electrical signals transmitted between on-chip<br />

circuitries can easily leak into silicon substrate and be coupled<br />

to generate substrate noise. Electrical losses of the signals<br />

due to induced eddy current in the substrate also degrade the<br />

quality of the performance of passive components in the<br />

driving circuitry. In order to resolve this issue, a layer of 3µm<br />

BCB (benzo-cyclo-butene) is utilized for passive fabrication<br />

where the loss can be greatly reduced. Owing to high thermal<br />

resistance characteristic of BCB, laser diode directly mounted<br />

on the top of it would have inevitable working temperature<br />

raise that could affect the laser performance.<br />

Therefore, in this paper, the equivalent ETCM of SiOB<br />

mounted with 160Gbp/s VCSELs will be developed by<br />

considering the characteristics of device materials and<br />

geometries. The presented model can not only reasonably<br />

simplify the complicated configuration but also provide an<br />

indication for system optimization.<br />

II.<br />

MODEL ANALYSIS<br />

According to the essential meanings and emphases of<br />

common π-circuit model, three main blocks, labeled heating<br />

source, propagated resistance, and common base resistance,<br />

are employed in the general electrothermal network π-model,<br />

shown in Fig. 1, representing the thermal source, thermal flow<br />

path, and the common base of thermal conducting system,<br />

respectively. As mentioned by A. M. Darwish et al. that it is<br />

appropriate to assign adiabatic surface between each heating<br />

source and the next [2], the adopted dash-lines in the network<br />

π-model represent an adiabatic surface enclosed each<br />

presented blocks where the effective contact area can be<br />

eventually well defined. Meanwhile, the impedance<br />

parameters Z i , where i present 1, 2, 3, and 4, can be defined as<br />

the thermal resistance of heating source itself, source-source,<br />

nature or forced air convection, thermal capacitance, and<br />

other specified boundary conditions, and so on. Boundary A<br />

and B can be the ground level of the thermal flows, other<br />

heating components of thermal conducting system with<br />

specified thermal conditions, or interconnections between<br />

different systems. It should be specially emphasized that<br />

icons of the source of thermal flow and the block of heating<br />

source represent the thermal generation and flow and main<br />

heated component, respectively.<br />

Fig. 3(a) and (b) show the modified electrothermal<br />

π-network model and the associated equivalent ETCM of the<br />

VCSELs on SiOB, respectively, where Z 1 and Z 2 , Z 3 , and Z 4<br />

are thermal resistance of a VCSEL (R VCSEL ) itself, an infinite<br />

thermal resistance due to the negligence of nature air<br />

convention here, and thermal capacitance of SiOB (C SiOB ),<br />

respectively. Boundary A and B are the adjacent R VCSEL of the<br />

operating VCSEL and ground level for entire thermal<br />

conducting system, respectively. It is noted that two<br />

parameters, the source of thermal flow and Z 1 , are the<br />

components of the heating source in the modified network<br />

π-model since the VCSELs are main thermal flow generators<br />

and also the heated components themselves in our case.<br />

Additionally, the blocks of propagated resistances and<br />

common base resistances are represented by parallel<br />

connection of thermal resistance of gold (R Gold ), air (R Air ), and<br />

BCB (R BCB ) and SiOB (R SiOB ), respectively.<br />

Four switches adopted in the structure mean the VCSEL<br />

can be single operated. According to Fourier’s conduction<br />

law, R VCSEL , R Gold , R Air , and R BCB can be easily calculated as R i<br />

=t i /k i A i , where i, t, k, and A are adopted material, thickness,<br />

thermal conductivity, and contact area of the materials,<br />

respectively. Then, C SiOB is equal to Q/∆T where Q and ∆T<br />

represent dissipated power and temperature difference,<br />

respectively. Parameter R SiOB , however, has complicated<br />

thermal behavior due to its large volume and aspect ratio. It<br />

can be calculated as follows [2]:<br />

[ f ( g[ 2s]<br />

1)<br />

]<br />

1 ⎛ V + ⎞ 1 ⎛ h( 2.<br />

3t)<br />

⎞<br />

R SiOB<br />

= ln⎜<br />

+ ⎜ ⎟<br />

[ ( [ ])] ⎟<br />

ln , (1)<br />

2π Wk ⎝ V f g L ⎠ 4π sk ⎝ h(s) ⎠<br />

where<br />

(a)<br />

(b)<br />

Fig. 3. (a)Scheme of the modified general electrothermal network π-model.<br />

The source of thermal flow and Z 1 are the components of the heating source<br />

due to the VCSELs are main heating generators themselves in our case.<br />

(b)The equivalent electrothermal circuit model of the VCSELs on the SiOB,<br />

where Z 1 and Z 2, Z 3, and Z 4 are thermal resistance of VCSEL (R VCSEL), an<br />

infinite thermal resistance due to without nature air convention here, and<br />

thermal capacitance of SiOB (C SiOB), respectively.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 9<br />

ISBN: 978-2-35500-010-2


x −1<br />

V(x) = , f(y) =<br />

x + 1<br />

y + 1 ⎛W<br />

⎞<br />

, g(z) = ⎜ ⎟ ,<br />

y −1<br />

⎝ z ⎠<br />

2<br />

7-9 October 2009, Leuven, Belgium<br />

and<br />

h(w) =<br />

1+<br />

g(<br />

1+<br />

g(<br />

2w)<br />

+ 1<br />

2w)<br />

−1<br />

The parameters W, k, t, and s are wide, thermal conductivity,<br />

thickness of SiOB, and the spacing between contact pads,<br />

respectively. For the purpose of conveniently and fairly<br />

comparison, Table 1 shows the dimension parameters and<br />

thermal conductivities of each adopted material using in the<br />

model calculation and simulation. After obtaining all of the<br />

thermal resistances and capacitance in the thermal conducting<br />

system, the paths of thermal flow and hottest temperature on<br />

device can, therefore, be uniquely and rapidly determined<br />

based on the equivalent ETCM.<br />

III.<br />

SEMICONDUCTOR FABRICATION<br />

For the completeness and fair comparison between<br />

theoretically and experimentally discussion, the entire<br />

fabrication procedures of SiOB and transmitter assembly,<br />

including the manufacturing of 45°micro-reflectors,<br />

V-groove arrays, high frequency transmission lines that<br />

(a)<br />

connected with 4-channel VCSEL array and bonding pads are<br />

demonstrated and shown in Fig. 4. It should be emphasized<br />

that the 45°micro-reflectors and V-groove arrays did not be<br />

employed in the simulation and model analysis due to they are<br />

not main components of paths of thermal flow and<br />

furthermore for the first structure simplification.<br />

Additionally, since the fiber assembly of proposed modules<br />

used for the optical interconnection is passively aligned, the<br />

V-groove array is designed to assemble the multimode fiber<br />

(MMF) array. The SiOB is monolithically fabricated with a<br />

45° micro-reflector and the V-groove array. In order to etch<br />

the bench that can incorporate the optic fiber with the V-<br />

groove and provide the reflection surface for optical coupling<br />

between fiber and VCSEL, simultaneously. A two-step<br />

anisotropic wet etching is developed using KOH solution.<br />

SiO 2 film is deposited on a (100) silicon substrate and used as<br />

a hard mask for anisotropic wet etching. Dedicated patterns<br />

for etching trenches are formed using photo-lithography and<br />

dry etching on the SiO 2 film to define patterns. The<br />

anisotropic wet etching using KOH solution mixed with IPA<br />

is applied to form the 45° micro-reflector and the V-groove<br />

array. After that, the photolithography is adopted again to<br />

fabricate transmission lines. Ti/Au (500/9500 Å) layers are<br />

deposited on the SiO 2 layer by E-Gun Evaporator.<br />

Table. 1. The dimension parameters and thermal conductivities of each<br />

adopted material<br />

IV.<br />

Thickness Thermal Conductivity<br />

Layer Material<br />

(µm)<br />

(pW/µm-K)<br />

SiOB Silicon 625 1.48 × 10 8<br />

BCB BCB 3 2.90 × 10 5<br />

Thermal via Gold 3 3.18 × 10 8<br />

Contact pad Gold 10 3.18 × 10 8<br />

VCSEL Copper 100 3.98 × 10 8<br />

Ground Gold 10 3.18 × 10 8<br />

(b)<br />

Fig. 4. (a) Fabrication of SiOB and (b) Fabrication of High Frequency 4<br />

Channel ×2.5 GHz Transmission Lines<br />

Transmission lines (TMLs) are then formed using lift-off<br />

process. For flip-chip bonding VCSEL onto the as-fabricated<br />

TMLs, Au-Sn patterns of 1µm are defined by<br />

photolithography and thermal evaporator deposition. Finally,<br />

Once the 4-channel VCSEL array is flip-chip bonded onto the<br />

Au/Sn pads, the SiOB transmission module is fabricated with<br />

a position accuracy of 1µm.<br />

EXPERIMENTAL VALIDATION<br />

Four VCSELs are mounted on a SiOB with thickness of<br />

625 micrometer and covered by air without having artificial<br />

convection. The bottom of the SiOB is an isothermal surface<br />

setting with 75 o C to mimic the operation environment of a<br />

typical optical transceiver system. All other surfaces are<br />

adiabatic where no heat flux is allowed. Meanwhile, the<br />

equivalent ETCM also indicates that the contributions of<br />

thermal resistances of air and SiOB could be safely ignored<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 10<br />

ISBN: 978-2-35500-010-2


due to a large thermal resistance in the part of parallel<br />

connection and a small resistance value in the part of serial<br />

connection, respectively. Thus, the materials of air and SiOB<br />

could be reasonably removed both in the model calculation<br />

and the CoventorWare simulation [7] so that more than 80%<br />

reduction of required mesh was achieved as well as the<br />

requirements of CPU and DRAM operation in this case. Fig.<br />

5 (a) shows the probable paths of thermal flow predicted by<br />

the equivalent ETCM after removing the air and SiOB in the<br />

thermal conducting system. Since VCSEL and thermal via<br />

have comparable thermal conductivity (see Table. 1) and the<br />

thickness of the operating VCSEL and the adjacent one can<br />

have two-order magnitude larger than that of thermal via, the<br />

thermal via would become the main path of the thermal flow<br />

as the expectation in this work.<br />

Furthermore, the paths of the thermal flow depicted in Fig.<br />

5 (b) extracted from the simplified thermal conducting system<br />

constructed in the simulation verify the validation of the<br />

equivalent ETCM by showing the possible paths of thermal<br />

flows on the principal components. The simulation results<br />

can not only obviously present the main paths of thermal flow<br />

as the prediction of the equivalent ETCM, but also indicate<br />

the hottest point that in the outermost corner of the operating<br />

VCSEL where the most far from the possible paths of the<br />

thermal flow. It is important to find out the hottest point in a<br />

thermal conducting system due to possible unexpected<br />

functionality degradation or even device failure due to a great<br />

quantity of thermal accumulation will easily happen at the<br />

point. In fact, according to the indications of derived<br />

equivalent ETCM, the value of the hottest point could always<br />

easily happen at the joints of the heating source and source of<br />

thermal flow and the hottest temperature should relate with<br />

the characteristics of material and geometry of Z 1 and<br />

7-9 October 2009, Leuven, Belgium<br />

the conditions of boundary A. For the purpose of furthermore<br />

validation of mentioned characteristics of the hottest point,<br />

Fig. 6 shows the scheme of the simplified thermal conducting<br />

system with single operating VCSEL established by the<br />

equivalent ETCM. The model calculation of the temperatures<br />

of node points A, B, and C depicted in Fig. 6 are 80.2, 79.2,<br />

and 75°C, respectively. The results reveal that the hottest<br />

temperature is at node A and the main path of thermal flow is<br />

from node B to C as the prediction of the equivalent ETCM<br />

and having excellent match with simulation demonstration in<br />

Fig.5 (b). Therefore, it is worthy for system-IC designers and<br />

engineers to analyze the worsen cases at those joints and<br />

study the thermal behaviors of Z 1 and boundary A seriously by<br />

means of the network model or equivalent ETCM.<br />

Fig. 7 shows the IR microscope detected temperature<br />

distribution of SiOB heated by the operated VCSEL. The<br />

bottom of SiOB is constrained with a bias temperature<br />

A<br />

B<br />

Fig. 6. The scheme of the simplified thermal conducting system with single<br />

operating VCSEL established by the equivalent ETCM. The model<br />

calculation of the temperatures of node points A, B, and C are 80.2, 79.2,<br />

and 75°C.<br />

C<br />

(a)<br />

(b)<br />

Hottest point<br />

Fig. 5. (a) The simplified thermal conducting system established by the<br />

indication of the equivalent ETCM. The material of air and SiOB were<br />

removed in the system to reduce the required meshes as well as the CPU<br />

time. (b) The thermal flow on principal components extracted from<br />

CoventorWare simulation provides a verification of the equivalent ETCM.<br />

Hottest point was also labeled in the simulation result and indicated the point<br />

of possible unexpected functionality degradation or even device failure due<br />

to a great quantity of thermal accumulation.<br />

Fig. 7. The measured temperature distribution of SiOB heated by the<br />

operating VCSEL using IR microscope. Only a laser diode is operated by<br />

probe B with 8mA input current and 2V bias voltage.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 11<br />

ISBN: 978-2-35500-010-2


of 75 o C for fair comparison. Only a laser diode is operated by<br />

probe B with 8mA input current and 2V bias voltage. The<br />

measured thermal distributions verified the validation of the<br />

simulation and model prediction shown in Fig. 5 and 6. Fig. 8<br />

shows the comparison between the measurement, equivalent<br />

ETCM, and CoventorWare simulation results with and<br />

without air and SiOB, respectively. Excellent temperature<br />

matching within ±2°C indicates the validation and prediction<br />

of the equivalent ETCM and the practicality of the simplified<br />

structure in which we can have 90% CPU operation time<br />

saving due to 80% mesh number reduction. Besides, the<br />

slight temperature mismatch could be caused by the thermal<br />

impedance mismatch between the interfaces and the phonon<br />

vibration in high temperature.<br />

Fig. 8. Comparison between the presented model, measurement data, and<br />

simulated results with and without air, BCB and SiOB, respectively.<br />

Excellent temperature matching within ±2°C indicates the validation and<br />

prediction of the equivalent ETCM and the practicality of the simplified<br />

structure in which we can have 90% CPU operation time saving due to 80%<br />

mesh number reduction.<br />

7-9 October 2009, Leuven, Belgium<br />

V. CONCLUSION<br />

The paper physically and conceptually presents a general<br />

electrothermal network π-model in system level. A associated<br />

equivalent electrothermal circuit model can be readily used<br />

for device optimization and CAD programming in terms of<br />

the demanding geometrical structure and characteristics of<br />

materials. The equivalent ETCM can also open a way for<br />

structure simplification and system optimization with high<br />

accuracy and achieve the goal of CPU time-saving in FEA<br />

simulation without complex and contrived mesh studying or<br />

scaling. Furthermore, the equivalent ETCM can predict the<br />

hottest point in the system to avoid the device failure or<br />

break-down.<br />

VI.<br />

ACKNOWLEDGMENT<br />

This work was supported by the 97-EC-17-A-07-S1-001<br />

project at Optical Sciences Center, National Central<br />

University.<br />

REFERENCES<br />

[1] F. Tamigi, N. Nenadović, V. d’Alessandro, L. K. Nanver, N. Rinaldi,<br />

and J. W. Slotboom, “Modeling of thermal resistance dependenceon<br />

design parameters in silicon-on-glass bipolar transistors,” in Proc.<br />

IEEE 24th International Conference on Microelectronics, vol. 1,<br />

pp.257-260, Niš, Serbia-Montenegro, May 2004.<br />

[2] A. M. Darwish, A. J. Bayba, and H. A. Hung, “Accurate<br />

determination of thermal resistance of FETs,” IEEE Transactions on<br />

Microwave Theory and Techniques, vol. 53, January 2005.<br />

[3] A. H. Ajami, K. Banerjee, M. Pedram, “Modeling and analysis of<br />

nonuniform substrate temperature effects on global ULSI<br />

interconnects,” IEEE Transactions on Computer-Aided Design of<br />

Integrated Circuits and Systems, vol. 24, pp.849-861, June 2005.<br />

[4] B. Goplen and S. Sapatnekar, “Thermal Via Placement in 3D ICs,”<br />

Proceedings of the 2005 international symposium on Physical design,<br />

pp.167-174, April 2005.<br />

[5] K. Vanmeensel, A. Laptev, J. Hennicke, J. Vleugels, and O. V. der<br />

Biest, “Modeling of the temperature distribution during field assisted<br />

sintering,” Acta Materialia, vol. 53, pp.4379-4388, August 2005.<br />

[6] A. J. Kemp, G. J. Valentine, J.-M. Hopkins, J. E. Hastie, S. A. Smith,<br />

S. Calvez, M. D. Dawson, and D. Burns, “Thermal Management in<br />

Vertical-External-Cavity-Surface-Emitting Lasers: Finite-Element<br />

Analysis of a Heatspreader Approach,” IEEE Journal of Quantum<br />

Electronics, vol. 41, pp.148-155, February 2005.<br />

[7] CoventorWare 2008, http://www.coventor.com/, version 2008.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 12<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Geothermal Cooling Solution Research<br />

For Outdoor Cabinet<br />

Yuping Hong, Yuening Li, Jian Shi<br />

Huawei Technologies Co., Ltd.,Shenzhen,P.R.China<br />

Tel: +86-755-89652254<br />

E-mail: hongyp@huawei.com<br />

Abstract- This paper introduces an ongoing research on<br />

geothermal cooling solution (GCS) for outdoor cabinets that are<br />

used to contain telecom equipment such as FTTX network,<br />

mobile network and etc. The principle of GCS is that the heat<br />

generated inside cabinet from telecom facilities is transferred to<br />

water by an air-water heat exchanger, and then water dissipates<br />

the heat to shallow underground soil by water-soil heat<br />

exchanger. A prototype of this GCS is built and tested in<br />

Shenzhen, China. Test result shows that the prototype meets the<br />

cooling requirement with at least 3 times cooling efficiency<br />

higher than that of traditional cooling solution. It is conclude<br />

that GCS is effective and valuable for practical application, and<br />

need further more investigation.<br />

Fig 1 Typical daily temperature curve of the atmosphere air and soil at<br />

difference depth (Shenzhen, China)<br />

Key word: geothermal cooling solution, outdoor cabinet<br />

I. INTRODUCTION<br />

With the fast development of broadband network,<br />

outdoor cabinets which contain telecom equipment like<br />

FTTX network are deployed more widely. Cooling plays an<br />

important role in design of these cabinets to maintain cabinet<br />

internal temperature at proper level; otherwise the equipment<br />

may fail to work. Traditional cooling technologies such as<br />

air-air heat exchanger, air conditioning utilize atmosphere as<br />

heat sink, which are limited for size, energy cost and acoustic<br />

noise. Advanced cooling solution with compact size, high<br />

energy efficiency and low noise, which meets environmental<br />

protection strategies as well, is preferred by the telecom<br />

operators.<br />

As we know, air temperature changes with season and time.<br />

In hot season, it is critical for traditional air cooling<br />

technologies to function due to high temperature of<br />

atmosphere. However soil temperature is more stable, the<br />

temperature impact of the air to the soil becomes weak with<br />

the increase of soil depth. Figure 1 shows that at the depth of<br />

5m, the soil temperature is nearly stable in the whole year.<br />

The temperature curve in figure 1 is calculated with the<br />

theoretic formula from Reference [1]. Figure 2 shows that at<br />

about 0.8m depth, little will change with soil temperature in<br />

one day time, the temperature data is derived from Reference<br />

[2]. Soil temperature is higher than air temperature in winter<br />

and lower in summer. As summer is the worst case for<br />

telecom facilities cooling, soil provides an ideal “heat sink”<br />

for outdoor cabinet. In this paper, geothermal cooling is<br />

defined as cooling with soil.<br />

Fig 2 Typical temperature curve of soil in one sunny day (Shenzhen, China) [1]<br />

Recently, geothermal cooling is attracting a lot of<br />

attentions from telecom operators and equipment<br />

manufacturers. KPN reported research on cooling mobile<br />

shelter with vertical soil heat exchanger (VSHE), the VSHE is<br />

a kind of borehole cooling, and the drilling depth reaches 75m<br />

[3]. Huawei and Telecom Italia reported a joint research on<br />

cooling solution utilizing underground heat pipe. Test result<br />

shows that the cooling efficiency is much higher than that of<br />

traditional cooling solution [4]<br />

This paper introduces an ongoing research about new GCS<br />

for outdoor cabinet. The principle of this GCS is that the heat<br />

generated inside cabinet is transferred to water by an air water<br />

heat exchanger, and water dissipates the heat to shallow<br />

underground soil by water soil heat exchanger. The original<br />

proposal of this GCS is derived from traditional ground<br />

source heat pump (GSHP) technology, which is widely used<br />

in air conditioning system for buildings. The target of this<br />

research is to find a feasible, low cost and high reliable<br />

geothermal cooling solution for easy engineering design and<br />

practical application.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 13<br />

ISBN: 978-2-35500-010-2


II.<br />

GCS DESIGN AND FIELD TEST<br />

7-9 October 2009, Leuven, Belgium<br />

The GCS system mainly include following parts: airwater<br />

heat exchanger, water pump, flow meter and watersoil<br />

heat exchanger. The air-water heat exchanger is a<br />

tube-fin structure with fan help to circulate air between heat<br />

exchanger and equipment. It has two advantages compare<br />

with air-air heat exchanger or air conditioning. First, it is<br />

more compact and save space for the cabinet; second, it is<br />

good for noise insulation because the total unit is sealed in the<br />

cabinet, no outside vent is needed which will cause noise<br />

leakage. The water-soil exchanger is made of PE material<br />

tube which is anti-corrosive and high reliable and widely<br />

used in GSHP. The tube will be buried together with other<br />

electric cables used for the telecom equipment in shallow soil,<br />

which is important ways to control the total installation cost.<br />

The Water-soil exchanger has no pollution to environment as<br />

it is a closed loop system. Compared with traditional GSHP,<br />

GCS is much simpler as no compressor unit is applied. And<br />

the shallowly buried water soil tubes are easier to install<br />

compared with borehole. Borehole needs special drilling<br />

machine and takes more time and money.<br />

As the figure 3 shows, GCS have three main coupled heat<br />

transfer process. The first process is that air circulates through<br />

equipment chassis, cabinet and air-water heat exchanger of<br />

the GCS. Heat is transferred to water in the pipeline in this<br />

process. The second process is that water circulates through<br />

pump, flow meter, water-soil heat exchanger and air-water<br />

heat exchanger. Heat is transferred to soil in this process. The<br />

third process is soil dissipates heat to more distant soil and<br />

environmental air. This third process is more complicated<br />

because of transient changing climate and high thermal<br />

inertia property of soil.<br />

The GCS prototype is built and tested in Shenzhen.<br />

Shenzhen locate in south China where annual average<br />

temperature is 23℃, the highest temperature reach 37℃ in<br />

summer and last long time. It may represent severe working<br />

condition in the world, the testing can then be apply to many<br />

area like Europe, north Asia and etc.<br />

Figure 3 show detail the layout of the equipment inside the<br />

cabinet, GCS and the main temperature test point. The GCS is<br />

installed into a real typical outdoor telecom cabinet. The size<br />

of outdoor cabinet is 1550(L) x550(W) x1500(H) mm. GCS is<br />

set up in left side, while telecom equipment chassis is placed<br />

in the middle. The equipment chassis dissipates 750W, which<br />

is typical value in real case. Cooling requirement is to<br />

maintain maximum air temperature inside the cabinet below<br />

70℃ with least noise and energy cost by cooling system. The<br />

Water-soil heat exchanger is made up of three layers tubes<br />

buried underground. The outer diameter of the tube is 20mm<br />

and inner diameter is 15.4mm. Depth of three layers is 1.2m,<br />

1.8m and 2.4m. Tube length in every layer is about 20m.<br />

Fig 3 Profile of outdoor cabinet with GCS<br />

Thermocouples are placed at following points: two points<br />

for inlet and outlet water of water-soil exchanger or air-water<br />

exchanger, four points for inlet and outlet air temperature of<br />

outdoor cabinets, two points for chassis inlet and outlet air.<br />

Eight points for soil temperature in different depth (0.3m,<br />

0.6m, 0.9m, 1.2m, 1.5m, 1.8m, 2.1m, 2.4m), two points for<br />

ambient air temperature. Test data are recorded by Data<br />

Acquisition System.<br />

Ⅲ. TEST RESULT AND DATA ANALYSIS<br />

Test starts with soil temperature investigation. During the<br />

test, the telecom equipment is not powered on. Figure 4<br />

shows the soil temperature variation for eight days. The<br />

temperature of soil under 1.2m is almost stable and change<br />

little with air temperature. In 8 days, air temperature<br />

21℃<br />

varies<br />

from to 40 , while soil temperature at 0.6m depth<br />

varies only from 26 to 29 and only 1.2m<br />

depth. These temperature data will be used as reference<br />

temperature point in followed analysis. Figure 5 provides the<br />

comparison of daily mean soil temperature among the<br />

different layers and variation in ten days. Soil temperature<br />

decreases along with depths. There is about<br />

℃<br />

temperature difference between 1.2m and 2.1m. The variation<br />

rate of daily mean soil temperature under 1.2m depth is lower<br />

than 0.045 /day<br />

1℃<br />

0.8~1℃<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 14<br />

ISBN: 978-2-35500-010-2<br />

℃ ℃ changes<br />

at<br />

℃ .


7-9 October 2009, Leuven, Belgium<br />

soil is lower than 0.04℃/day. This variation rate is almost the<br />

same with that of original soil, which explains that the heat<br />

introduced to the soil is conducted to surrounded soil, not be<br />

transferred by the surface air.<br />

Fig4. Temperature curve of soil in different depths and atmosphere<br />

Fig 6 Chassis outlet temperature inside outdoor<br />

Fig5. Daily mean soil temperatures in different depths<br />

Figure 6 shows the cooling performance comparison with<br />

and without GCS. When the GCS is applied, it decreases the<br />

cabinet maximum air temperature by 25℃. Noise level of<br />

cabinet with GCS is less than 35dBA, which is lower than that<br />

of air-air heat exchanger (normally 55~65dBA). The low<br />

noise performance meets the health requirement for<br />

residential area, this requirement is extreme strict in Europe<br />

countary. Power consumption of fan and pump is about 6w<br />

and 16w respectively, resulting in COP=34 for the cooling<br />

system, which is much higher than that of traditional cooling<br />

method such as air-air heat exchanger (normally 10~15) and<br />

air conditioning (normally 3~4).<br />

Figure 7 shows transient temperature curve of ambient and<br />

cabinet. In the first ten days of test, the air temperature inside<br />

cabinet rises up slowly, it is caused by thermal inertia of the<br />

soil. After then, the air temperature inside cabinet runs into<br />

periodical temperature circle synchronized with ambient air.<br />

The cabinet has two parallel heat dissipation paths, one path is<br />

via cabinet’s wall, and another is via GCS. The fluctuation of<br />

air temperature explains that the cabinet wall heat dissipation<br />

still affect the performance the system. Also, the four weeks’<br />

test result show that air temperature inside cabinet is under 60<br />

℃, 10℃ below the limitation value. The cooling performance<br />

meets the design target.<br />

Figure 8 shows transient daily mean soil temperature. Soil<br />

temperature increases 3~4℃ after four weeks test (not include<br />

0.6m and 0.9m depth, which is influenced by ambient). Soil<br />

temperature is almost stable from date 07/02 to date 07/08.<br />

The variation rate of daily mean temperature of 2.1m depth<br />

Fig7 Transient temperature curve of GCS and cabinet<br />

Fig8 Transient curve of daily mean soil temperature<br />

The heat transfer value by soil is calculated by equation(1):<br />

p<br />

( T −T<br />

)<br />

Q = C ρ V<br />

(1)<br />

inlet<br />

outlet<br />

C<br />

p<br />

: Thermal capacity of water, J/kg . k;<br />

ρ : Density of water, kg/m^3<br />

V : Average flow rate inside water-soil exchanger, m/s<br />

T<br />

inlet<br />

and T<br />

outlet<br />

: Inlet and outlet of water temperature of<br />

water-soil exchanger ,℃<br />

Figure 9 and figure 10 show the variation about heat<br />

dissipation value of soil during one day and one month. It<br />

changes with air temperature and solar radiation intensity.<br />

During the hottest the time of the day, the cooling<br />

performance by the cabinet wall is weaken, the GCS dissipate<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 15<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

about 600W, up to 80% of the total heat. During other time of d i : Inner diameter of tube, m;<br />

the day, the GCS dissipate 50%~60% of the heat, other heat<br />

is dissipate to the decreased ambient air by the cabinet wall,<br />

also the soil get time to recover. Above conclusion is proved<br />

as well in result of long time test showed in figure 10.<br />

Heat dissipated by soil will be reduced in winter as ambient<br />

air temperature decreases to low level. The soil temperature<br />

gets more time to recover back. In ultra low temperature<br />

environment, the soil can also heat up the cabinet to proper<br />

temperature; this will save a lot of heating energy. The test is<br />

continued to verify it.<br />

d o : Outer diameter of tube, m<br />

Nu: Nuselt number;<br />

λ p : Thermal conductivity of tube’s wall, w/mk<br />

λ: Thermal conductivity of water, w/mk<br />

For this GCS prototype, calculation result shows that R 1 is<br />

close to 0.04 ℃/(Wm) and R 2 is close to 0.1℃/(Wm). Because<br />

soil is high thermally inertial, figure 11 shows that R soil increases<br />

gradually and becomes stable in a range finally. This value can<br />

be use for fast engineering design. As R soil is the key design<br />

parameter, it relate with many factor like property of the soil,<br />

layout and size of tube, buried depth and etc., more research<br />

should be carried out in the future work.<br />

Fig 9 Transient curve of heat dissipated by soil in one day<br />

Fig11 Transient thermal resistance curve of R soil<br />

IV.<br />

CONCLUSION<br />

Fig 10 Transient curve of heat dissipated by soil for long time<br />

It is necessary to set up an effective model for fast evaluation<br />

of GCS cooling performance. As water-soil heat transfer process<br />

is the most important part, this work start with the research<br />

on R soil<br />

. R<br />

soil<br />

is the thermal transfer resistance per unit length<br />

of the tube:<br />

R = L( T − T ) / Q − R − R (2)<br />

soil ave soil<br />

( )<br />

R 1 πd i<br />

h i<br />

h Nuλ<br />

/<br />

1 2<br />

= 1 (3)<br />

= (4)<br />

i<br />

d i<br />

R2 = ln( do / di ) / 2πλ<br />

pL<br />

Where,<br />

L: Length of water-soil exchanger, m<br />

Q: Heat dissipated by soil, W<br />

R 1 : Thermal resistance from fluid to tube’s wall per unit<br />

length (℃/Wm)<br />

R 2 : Thermal resistance of tube’s wall per unit length<br />

(℃/Wm)<br />

T ave : Average temperature of fluid inside tube<br />

T soil : Original soil temperature<br />

A new geothermal cooling solution with good performance,<br />

low noise and high energy efficiency for telecom outdoor<br />

cabinet has been presented. The basic heat transfer behaviour of<br />

the entire system (cabinet and GCS) is analyzed with transient<br />

temperature data. With effective performance and low cost, this<br />

GCS is more competitive compared with traditional cooling<br />

solutions. It is necessary to carry out further research for design<br />

and application.<br />

REFERENCES<br />

[1] Guizhi G., etc, “Simple calculation for GSHP heat-exchanger”,<br />

Energy Conservation, 274(2005), pp.22-24.<br />

[2] Li Xingrong, Zhang Xiaoli, Liang Biling,Yang Lin, “Diurnal<br />

variation of Soil temperature and its vertical profiled in summer in<br />

shenzhen city,” ScienceTechnologyandEngineering, vol.8,<br />

pp5996-6000, 2009.<br />

[3] “Soil cooling system for small site (KPN),|” ETNO annual report<br />

2008, 7-3, pp.37.<br />

[4] Hong Yuping, Ji Shengqin, Zhai Liqian, Chen Qiao, Claudio Bianco,<br />

“Cooling System of Outdoor Cabinet using Underground Heat Pipe”<br />

11-1978-1-4244-2056-8/08 2008 IEEE Intelec, 13-1.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 16<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

A New Methodology for Early Stage Thermal<br />

Analysis of Complex Electronic Systems<br />

O. Martins 1 , N. Peltier 2 , S. Guédon 2 , S. Kaiser 2 , Y. Marechal 1 and Y. Avenas 1<br />

1<br />

G2ELAB, UMR 5269 INPG-UJF-CNRS, BP 46, 38402 Saint Martin d’Hères Cedex, France<br />

2<br />

DOCEA Power, 166B, rue du Rocher du Lorzier, 38430 Moirans, France<br />

Phone: +33 4 76 82 64 38 - Email: Olivier.Martins@g2elab.grenoble-inp.fr<br />

Abstract-This paper presents a new methodology called Flex-<br />

CTM for Flexible Compact Thermal Modeling to build and to<br />

interface compact thermal models at different modeling levels.<br />

Each part of an electronic system is prepared to be Bou ndary<br />

Condition independent (BCI) such as to be plugged to other<br />

parts. Each part model is reduced to save memory and time<br />

consuming at the simulation stage. The resulting pluggable and<br />

reduced thermal model is called a micro-model. Therefore, a<br />

fast-to-simulate macro-model of a full microelectronic system<br />

can be obtained by assembling micro-models.<br />

The Flex-CTM is found to have numerous advantages over<br />

both current resistive models (junction-to-case and junction-toboard)<br />

and Dynamic Compact Thermal Models. The first<br />

advantage of the methodology is that multi-source and dynamic<br />

simulations of an electronic system can be performed at any<br />

design level. The second one is the control of the accuracy. The<br />

third advantage is the Boundary Condition Independence<br />

property that allows architecture exploration. Finally and the<br />

most important, micro and macro-models can be shared by<br />

teams to be reused and completed.<br />

Keywords - Compact Thermal Modeling, model coupling,<br />

Boundary Condition Independence, multi-level modeling.<br />

I. INTRODUCTION<br />

In microelectronics, device designers are increasingly<br />

miniaturizing the electronic components, to design smaller<br />

products and to add more features. This miniaturization is at<br />

the origin of a strong rise of the power density. In addition,<br />

the power density rise with the temperature elevation lead to<br />

strong electro-thermal phenomenon that can damage<br />

electronic components.<br />

Hot spots on the components cause thermal and<br />

mechanical stresses which affect circuit reliability.<br />

Furthermore, thermal gradients within the die, due to local<br />

hot spots, involve delay errors in logical gates and thereby<br />

limit expected performances. As discussed before, the<br />

temperature rise leads to an overconsumption that reduces<br />

the autonomy of nomad systems. Moreover, high<br />

temperatures decrease the life time of a system. Combined<br />

with a strong electro-thermal loop phenomenon, the<br />

component can be damaged by thermal runaway.<br />

In order to limit these risks, electronic engineers have to<br />

perform transient thermal simulations at an early stage of the<br />

design flow, and at several granularity levels (die, package,<br />

board). Many teams in a single company are in charge of<br />

building their own thermal model (package model, die<br />

model, board model...). The different modelling scales do<br />

not allow to obtain a single fine multi-level model. The<br />

Flex-CTM methodology, allows to share each specific<br />

thermal model in such a way that each separated thermal<br />

model is fine and can be evaluated by setting its own<br />

environment and other model dependency to perform more<br />

realistic simulations.<br />

To speed up the thermal characterization process, the<br />

models must be compact and accurate to run fast simulation<br />

allowing a maximum bias of 5%. To summarize the need, a<br />

model must meet the following four criteria. First, the<br />

models have to be dynamic to allow transient simulations.<br />

Second, multiple power sources can be applied to fit with<br />

real case exploration (e.g., architectural level, many dies in a<br />

package, many packages on a board, ...). Third, to save<br />

simulation time, the models must have a reduced number of<br />

unknowns. Fourth, to be pluggable and reusable in different<br />

use cases, the models have to be Boundary Condition<br />

Independent (BCI).<br />

The paper is divided into 5 parts. First, a short<br />

background of existing thermal models is presented.<br />

Second, the Flex-CTM methodology is introduced<br />

explaining the build of elementary pluggable compact<br />

thermal models. Third, the modeling and the simulation of<br />

the whole system is explained. Fourth, a synthesis describes<br />

the interest of the methodology. Finally, the speed and<br />

accuracy performances of the methodology are evaluated for<br />

a typical co-simulation case.<br />

II.<br />

BACKGROUND<br />

Several models already exist to simulate the thermal<br />

behavior of an electronic system. First, numerical methods<br />

(for example, the Finite Element Method) split a volume into<br />

elementary units. According to the JEDEC convention, the<br />

numerical thermal model is also called detailed model. This<br />

kind of model is difficult to build if the geometries are<br />

complex because the build of a well adapted mesh becomes<br />

arduous. Moreover, these numerical models become huge<br />

and slow to simulate.<br />

Pioneering DELPHI methodology has been introduced to<br />

generate smaller models, in terms of number of unknowns<br />

[1]. This is a fitting method that creates Compact Thermal<br />

Models (CTM). A CTM is made of a network of resistors<br />

between key points of a package (a junction and outers).<br />

Other fitting methodologies have been introduced to add<br />

capacitive terms in the DELPHI compact models [2], like the<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 17<br />

ISBN: 978-2-35500-010-2


External<br />

Nodes (ne)<br />

European project PROFIT [3] and [4]. The building of these<br />

models takes a lot of time because many simulations of the<br />

detailed model must be performed in transient domain,<br />

varying heat transfer coefficients applied on exchange<br />

surfaces. In addition, the junction modeling in DELPHI-like<br />

models is quite rough because it is considered as a single<br />

uniform heat dissipation source.<br />

Recently, HotSpot analytical models [5], have been<br />

introduced to enhance the thermal model capabilities. The<br />

static and dynamic behaviors are addressed by a resistive and<br />

capacitive network between blocks at different scale levels.<br />

These models are mostly specific for the die. The package<br />

and board models are quite coarse and valid for specific heat<br />

flux distribution.<br />

None of the existing methods meet the whole<br />

specifications quoted previously (Introduction section §4).<br />

In the following section, the Flex-CTM methodology is<br />

introduced to build pluggable Flex-CTM (Flexible Compact<br />

Thermal Models). The Flex-CTM are exclusively<br />

conductive models; the convection and the radiation are<br />

considered as first order phenomena. A Flex-CTM is a<br />

thermal resistance and capacitance network between nodes<br />

and can be assimilated to an electrical RC network by<br />

thermoelectric analogy.<br />

III. BUILD OF PLUGGABLE COMPACT THERMAL MODELS<br />

Usually, thermal models are built by different actors at<br />

different integration levels and the classical methodology<br />

does not allow to easily reuse and couple these models. The<br />

Flex-CTM methodology begins with the creation of a micromodel<br />

for each part of the whole system. A micro-model is<br />

a BCI compact thermal model with external connections for<br />

power sources, imposed temperatures, convection, and other<br />

conductive models. This section explains how to build a<br />

micro-model.<br />

Model splitting is the first stage of the methodology<br />

which breaks up the global geometrical electronic system<br />

into elementary homogeneous material parts. For instance, a<br />

BGA package (Figure 1) is split into four descriptions (die,<br />

substrate, encapsulant and bondwires). The interfaces of the<br />

elementary parts are classified into two groups: power<br />

sources (interface P) and exchange interface with the<br />

environment (interface E).<br />

Substrate<br />

Encapsulant<br />

7-9 October 2009, Leuven, Belgium<br />

accurate models of every part can be built in such way the<br />

discretization of the domain is adapted to a single material.<br />

The Finite Element Method (FEM) is chosen to retrieve the<br />

physical transfer functions at any meshing node. The<br />

transfer functions between nodes are written as a thermal<br />

admittance system. This system conjugates the thermal<br />

conductance sub-system G and the thermal susceptance subsystem<br />

C. The matrices G and C are square, symmetric and<br />

strictly definite positive. Equation (1) shows the<br />

computation of each element of the matrices G and C where<br />

k is the thermal conductivity of the material (in W.m -1 .K -1 ), ρ<br />

is its density (in kg.m -3 ) and C p is its specific heat (in J.kg -<br />

1 .K -1 ). Ω is the volume of the FEM meshing element. α k is<br />

the form function according to the Galerkin method.<br />

G(<br />

i,<br />

j)<br />

=<br />

C(<br />

i,<br />

j)<br />

=<br />

∫∫∫<br />

∫∫∫<br />

k.<br />

div(<br />

α ). div(<br />

α ) dΩ<br />

ρ C . α . α dΩ<br />

p<br />

Moreover, the extraction process gives the geometrical<br />

properties of all the meshing nodes.<br />

Third, the node selection step prepares the numerical<br />

model before being reduced. To perform it, a subset of<br />

external nodes which will be kept after reduction, has to be<br />

defined. The number of external nodes must be lower than<br />

the original one to obtain a small model after reduction.<br />

However, their number and their position have to ensure the<br />

most faithful transfer of the heat flux through the interface.<br />

So, the user has to define sub-sampled interfaces which are<br />

used in place of the original ones. The ne’ nodes on this new<br />

interface are used to apply environmental and to measure the<br />

temperature at several points of the system. The user<br />

controls the trade-off between accuracy and the size of the<br />

reduced model for his study case, modifying the number of<br />

external nodes ne'. The replacement of the original interface<br />

by the sub-sampled one is performed through a coupling<br />

method. This coupling tool is detailed in the following<br />

section (“Whole System Modeling and Simulation”). The<br />

resulting model is the numerical model in which original<br />

interfaces (P and E) are substituted by sub-sampled<br />

interfaces (P’ and E’), see Figure 2.<br />

Original FEM<br />

Model<br />

i<br />

i<br />

j<br />

j<br />

(1)<br />

Internal<br />

Nodes (ni)<br />

Coupling<br />

Die<br />

Bondwires<br />

Figure 1: Geometrical and Physical Description of each part of a BGA<br />

Package<br />

Second, the extraction process begins with the build of a<br />

numerical model of each part of the whole system, without<br />

any boundary condition. The model splitting step enables to<br />

mesh finely each model, without taking into account the<br />

geometrical constraints of the environment. Thus, more<br />

Figure 2: Node Selection<br />

Nodes of the<br />

Sub-sampled<br />

Interface (ne')<br />

Fourth, the reduction process enables to decrease the<br />

dimension of a system, preserving its first order moments.<br />

The matrices G and C are ordered to place the nodes of<br />

respectively P' and E' at the ne' first columns. A Model<br />

Order Reduction (MOR) technique, based on projections on<br />

the Krylov subspace has been used to reduce the dimension<br />

[6], [7], [8].<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 18<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

The discreet expression of the detailed model in time system is built.<br />

domain has a static member and a dynamic member (2).<br />

IV. WHOLE SYSTEM MODELING AND SIMULATION<br />

G x + C x = B p<br />

(2)<br />

Where G is the thermal conductance subsystem, x the<br />

vector of the unknown temperatures, C the subsystem of<br />

thermal capacitances, B the input-output driver matrix, and p<br />

the vector of the discreet inputs-outputs.<br />

G and C are sparse and symmetric definite positive m x n<br />

as dimension. The vector p and x are dense vector of size m<br />

x ne.<br />

To reduce the dimension of the system (2) the moment<br />

matching procedure will be applied in the frequency domain<br />

using the Laplace transformation.<br />

T<br />

Y(<br />

ω)<br />

= B ( G+<br />

jωC)<br />

B<br />

thenY(<br />

ω)<br />

= B ( I + jωA)<br />

R<br />

andT(<br />

ω)<br />

= Y<br />

T<br />

−1<br />

( ω)<br />

p<br />

if<br />

R = G<br />

−1<br />

B and A = G<br />

Where Y is the thermal admittance of the system, and T<br />

the vector of the unknown temperatures in the frequency<br />

domain.<br />

The matrix B must be chosen to perform the average of<br />

temperatures and the average of power sources according to<br />

the P interface, elsewhere it is the identity. Therefore,<br />

Arnoldi algorithm is computed on A and R to extract the<br />

orthogonal bases U that spans the Krylov subspace.<br />

−1<br />

( −n'<br />

+ 1)<br />

{ R,<br />

A R,...<br />

A R}<br />

−1<br />

C<br />

(3)<br />

Kn' ( A,<br />

R)<br />

= col − span ,<br />

(4)<br />

Once U is calculated for a first number of moments (n' =<br />

ne+ni'), ni' starting at 10, the reduction is done by projecting<br />

G, C and B onto the base.<br />

This section deals with the coupling of micro-models to<br />

build a complete model of an electronic system. These<br />

micro-models are coupled together through their respective<br />

E’ interface nodes. The interfaces of the models to connect<br />

are not supposed to have the same geometrical configuration.<br />

Therefore, the models cannot be coupled by just linking the<br />

interface nodes one by one. In the followings, the coupling<br />

method that allows to connect two micro-models is<br />

described.<br />

Two micro-models (G 1 , C 1 ) and (G 2 , C 2 ) are assembled in<br />

a non-coupled system equation (7). Where G k (k = 1 or 2) is<br />

the conduction matrix and C k is the diffusion matrix of the<br />

micro-model k. T k is the vector of temperature at each node<br />

of the micro-model k. F k is the load vector at each node of<br />

the micro-model k.<br />

⎡C1<br />

⎢<br />

⎣ 0<br />

0 ⎤⎡T<br />

1<br />

⎤ ⎡G<br />

⎥⎢<br />

⎥ + ⎢<br />

C2<br />

⎦⎣T<br />

<br />

2 ⎦ ⎣ 0<br />

1<br />

0 ⎤⎡T1<br />

⎤ ⎡F1<br />

⎤<br />

⎥⎢<br />

⎥ = ⎢ ⎥<br />

G2<br />

⎦⎣T2<br />

⎦ ⎣F2<br />

⎦<br />

The distribution of the nodes of the two uncoupled micromodels<br />

is described Figure 3. The “e” index is for the<br />

external node block, and the “i” index is for the internal node<br />

block. The structure of the nodes of the two models is<br />

modified in order to isolate the external nodes of the model<br />

2, see (8).<br />

T 1i T 1e T 2e T 2i<br />

(7)<br />

G' n' = U T GU<br />

C' n' = U T CU<br />

B' n' = U T B<br />

The MOR technique is enhanced by adding a postprocessing<br />

that controls the accuracy of the reduction. To<br />

control the accuracy, the matrix Y' of the transfer functions<br />

of admittances is calculated (6) in the frequency domain.<br />

Note that Y' is very fast to compute.<br />

(5)<br />

Model 1 Model 2<br />

Figure 3: Nodes Distribution of two Uncoupled Models<br />

T '<br />

1<br />

= T<br />

1e<br />

T '<br />

2<br />

∪T<br />

= T<br />

1i<br />

2e<br />

∪T<br />

The objective of the coupling process is to create links<br />

(G 12 , G 21 , C 12 , C 21 ) between two models as shown in<br />

equation (9).<br />

2i<br />

(8)<br />

where<br />

' T<br />

Y '( ω)<br />

= B ( I + jωA<br />

) R<br />

R'<br />

= G<br />

' −1<br />

'<br />

'<br />

'<br />

B and A = G<br />

'<br />

' −1<br />

C<br />

'<br />

(6)<br />

⎡C<br />

⎢<br />

⎣C<br />

11<br />

21<br />

C<br />

C<br />

12<br />

22<br />

⎤ ⎡T<br />

'<br />

⎥ ⎢<br />

⎦ ⎣T<br />

'<br />

1<br />

2<br />

⎤ ⎡G<br />

⎥ + ⎢<br />

⎦ ⎣G<br />

11<br />

21<br />

G<br />

G<br />

12<br />

22<br />

⎤ ⎡T<br />

'<br />

⎥ ⎢<br />

⎦ ⎣T<br />

'<br />

1<br />

2<br />

⎤ ⎡F'<br />

⎥ = ⎢<br />

⎦ ⎣F'<br />

1<br />

2<br />

⎤<br />

⎥<br />

⎦<br />

(9)<br />

While the mean of the Y' (6) values are changing,(3), (4)<br />

then (5) are calculated increasing ni'. This loop allows to<br />

ensure to extract the optimum first order moments that match<br />

the Krylov subspace. The reduced model has ne' external<br />

nodes and N' unknowns where N' is approximately 10% of<br />

N.<br />

At this stage, a micro-model of each part of the whole<br />

with<br />

G<br />

C<br />

11<br />

11<br />

= G ∪ G<br />

= C ∪ C<br />

2i<br />

2i<br />

F' = F ∪ F F'<br />

= F<br />

1<br />

1<br />

1<br />

1<br />

2i<br />

G<br />

C<br />

22<br />

22<br />

2<br />

= G<br />

= C<br />

2e<br />

2e<br />

2e<br />

(10)<br />

Where G 2i (resp. C 2i , F 2i ) is the internal node sub-block of<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 19<br />

ISBN: 978-2-35500-010-2


G 2 (resp. C 2 , F 2 ) and G 2e (resp. C 2e , F 2e ) is the external node<br />

sub-block of G 2 (resp. C 2 , F 2 ).<br />

The link is realized by the method of Lagrange multipliers<br />

[9]. It transforms a minimization problem under constraints<br />

in a minimization problem without constraint. The Lagrange<br />

multipliers allow to solve the heat equation (7), ensuring the<br />

continuity of temperature at the interfaces of the two models<br />

(11).<br />

T<br />

' = X T<br />

'<br />

2<br />

2<br />

1<br />

T ' = X T '<br />

1<br />

(11)<br />

Where X is the cross coupling between the nodes of the<br />

two model interfaces.<br />

This mathematical method applied to the thermal model<br />

coupling issue is described in [10]. The looking for the<br />

singular points of the Lagrangian gives the following matrix<br />

system:<br />

⎡C<br />

11<br />

⎢<br />

⎢C21<br />

⎢−<br />

X<br />

⎢<br />

⎢⎣<br />

0<br />

C<br />

12<br />

22<br />

C<br />

I<br />

0<br />

− X<br />

I<br />

0<br />

0<br />

T<br />

0⎤<br />

⎡T<br />

' 1⎤<br />

⎡G<br />

11 G<br />

⎥⎢<br />

⎥ ⎢<br />

0⎥<br />

⎢T<br />

'<br />

2⎥<br />

+ ⎢G21<br />

G<br />

0⎥<br />

⎢λ<br />

⎥ ⎢<br />

1 0 0<br />

⎥⎢<br />

⎥ ⎢<br />

0⎥⎦<br />

⎢⎣<br />

λ2<br />

⎥⎦<br />

⎢⎣<br />

− X I<br />

12<br />

22<br />

T<br />

0 − X ⎤⎡T<br />

' 1⎤<br />

⎡F'<br />

1⎤<br />

⎥⎢<br />

⎥ ⎢ ⎥<br />

0 I ⎥⎢<br />

T ' 2⎥<br />

= ⎢<br />

F'<br />

2⎥<br />

⎥ (12)<br />

0 0 ⎢λ<br />

1⎥<br />

⎢ 0 ⎥<br />

⎥⎢<br />

⎥ ⎢ ⎥<br />

0 0 ⎥⎦<br />

⎣λ<br />

2⎦<br />

⎣ 0 ⎦<br />

Eliminating λ 1 , λ 2 and T’ 2 in (12), leads to a new equation<br />

system (13) which describes the thermal behavior in<br />

transient state of the two coupled models.<br />

~<br />

C T ~ ~<br />

' 1 + GT ' 1 = F<br />

(13)<br />

where<br />

~<br />

T<br />

T<br />

C = C11<br />

+ X C21<br />

+ C12<br />

X + X C22<br />

X<br />

~<br />

T<br />

T<br />

G = G11<br />

+ X G21<br />

+ G12<br />

X + X G22<br />

X (14)<br />

~<br />

T<br />

F = F'<br />

+ X F'<br />

1<br />

2<br />

In this methodology, the coupling matrix X is an<br />

application of the nodes of interface 2 to interface 1. For<br />

each node Node2 j to be replaced in interface 2, the<br />

surrounding 4 nodes in interface 1 are identified (see Figure<br />

4).<br />

T2<br />

T j<br />

(x,y)<br />

T1<br />

7-9 October 2009, Leuven, Belgium<br />

1+<br />

x 1+<br />

y<br />

1−<br />

x 1+<br />

y<br />

α1(<br />

x,<br />

y)<br />

=<br />

α 2 ( x,<br />

y)<br />

=<br />

2 2<br />

2 2<br />

1−<br />

x 1−<br />

y<br />

1+<br />

x 1−<br />

y<br />

α3(<br />

x,<br />

y)<br />

=<br />

α 4 ( x,<br />

y)<br />

=<br />

2 2<br />

2 2<br />

(15)<br />

Then, the temperature T j of the node Node2 j is computed<br />

through equation (16).<br />

T j<br />

( x,<br />

y)<br />

= α1(<br />

x,<br />

y).<br />

T1+<br />

α 2 ( x,<br />

y).<br />

T 2 +<br />

α ( x,<br />

y).<br />

T3<br />

+ α ( x,<br />

y).<br />

T 4<br />

3<br />

4<br />

(16)<br />

The equation (16) expresses the temperature T j as the<br />

linear combination Λ j of the second interface temperatures.<br />

T<br />

j<br />

= Λ j.T ' 1<br />

(17)<br />

Finally, the coupling matrix X between the two models is<br />

built by all the line matrices Λ j (18).<br />

[ Λ Λ<br />

] T<br />

X = (18)<br />

1 1 Λ ne' 2<br />

Where ne' 2 is the number of external nodes in the model 2.<br />

X is a rectangular matrix of size ne' 2 x (n 1 +ni 2 ), where n 1 is<br />

the number of nodes of the model 1 and ni 2 is the number of<br />

internal nodes of the model 2.<br />

The Flex-CTM resulting from the coupling process is a<br />

RC network which can be solved with a Spice like transient<br />

simulator. Fixed potentials are applied on the model, to<br />

impose temperatures Ti on several external nodes of the<br />

Flex-CTM. Then, convection resistors R conv (19) are plugged<br />

on the convection surface nodes nodes to impose heat<br />

transfer coefficients on surfaces of the system.<br />

R conv<br />

= 1<br />

hS<br />

(19)<br />

Where h is the heat transfer coefficient applied on the<br />

surface S of the model. Then, the power sources Q are<br />

applied on P’ interface nodes and the Flex-CTM can be<br />

simulated in a transient scenario (see Figure 5).<br />

T3<br />

T4<br />

Nodes of the interface 1<br />

Node Node2 j<br />

Figure 4: Neighbor Nodes Configuration for a Hexahedral Mesh of the<br />

Interface 1<br />

Thus, the neighbor nodes of Node2 j are weighted,<br />

computing the form factors at the coordinates of the node<br />

Node2 j . Equation (15) shows the general form factors for a<br />

hexahedral mesh of first order.<br />

Power<br />

Sources Q<br />

Imposed<br />

Temperatures Ti<br />

Flex-CTM<br />

Figure 5: Simulation Condition Application<br />

Convection<br />

Resistors R conv<br />

V. INTEREST OF THE FLEX-CTM METHODOLOGY<br />

The building process of the Flex-CTM methodology is<br />

illustrated Figure 9. The models generated by the method<br />

present numerous advantages over the existing thermal<br />

models.<br />

Flex-CTM models meet the specifications because they<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 20<br />

ISBN: 978-2-35500-010-2


T junction<br />

Tinterface model 1<br />

are small, they can be reused and shared between the<br />

different design teams.<br />

Precisely, the methodology homogenizes the models at<br />

different integration levels to facilitate the share of the<br />

models. In that way, a designer builds finely its own model<br />

of interest using other available micro-models of materials<br />

and environments.<br />

Moreover, the Flex-CTM models contain few information<br />

of the original system. The geometrical and physical<br />

properties of the system are included implicitly in the model.<br />

Therefore, the models can preserve a confidentiality of the<br />

system properties.<br />

Finally, the Flex-CTM have the “Flexible” property.<br />

Meaning that each micro-model of the Flex-CTM can be<br />

modified independently and replaced by another one.<br />

VI. EVALUATION OF THE PERFORMANCES OF THE<br />

FLEX-CTM METHODOLOGY<br />

The methodology is evaluated with a simple co-simulation<br />

test case. The studied system is a die in a Ceramic Pin Grid<br />

Array (CPGA) package, plugged on a Printed Circuit Board<br />

(PCB). The typical use is an integrator who wants to<br />

characterize a board for a given component. The integrator<br />

may have obtained the die and package information in<br />

datasheets or even their micro-models. The geometry of the<br />

IC package is described Figure 6.<br />

Lid<br />

Step 2 Step 2<br />

Step 1 Die Step 1<br />

Substrate<br />

Pins<br />

PCB<br />

Figure 6: CPGA Geometrical Description<br />

To evaluate the influence of the board on which the<br />

component will be plugged, the component is connected to<br />

two different boards (Figure 7).<br />

a)<br />

b)<br />

Figure 7: Studied Printed Circuit Boards<br />

a) 1s0p<br />

b) 2s2p<br />

7-9 October 2009, Leuven, Belgium<br />

The model has been built with the typical values of the<br />

material thermal properties which can be found in the<br />

literature [11].<br />

The air layer surrounding the die is assumed to be<br />

insulating due to its very weak thermal conductivity. So, in<br />

this case a unique die-to-package heat flow path through the<br />

bottom face of the die is considered. The CPGA is<br />

simulated applying a uniform power source of 1W on the<br />

junction surface.<br />

A reference measure of the average temperature on the<br />

Die junction is obtained by simulating a FEM model of the<br />

full circuit. This measure is a reference to evaluate the<br />

methodology in terms of accuracy, model size and<br />

simulation time.<br />

The first step of the Flex-CTM methodology splits the<br />

CPGA geometry into 4 descriptions according to the<br />

physical properties (see Figure 8).<br />

Die<br />

Pins<br />

Figure 8: Model Splitting<br />

CPGA Package<br />

PCB<br />

The junction interface is on the top of the die and the<br />

model contains 3 coupling interfaces. The first one links the<br />

die to the package substrate, the second one links the<br />

package substrate to the pins and the last one links the pins<br />

to the PCB. The 4 (Die, Package, Pins, PCB) FEM models<br />

of the system are extracted in their respective numerical<br />

systems G 1,2,3,4 and C 1,2,3,4 with their related node properties.<br />

Concerning the heat transfer coefficients, they are identified<br />

using a commercial CFD tool. Then they are embedded in<br />

the CPGA Package and in the board models. The nodes<br />

belonging to the interfaces of the models are kept during the<br />

reduction process. TABLE I summarizes the number of<br />

nodes of each part at different steps of the methodology. The<br />

number in brackets indicates the number of external nodes<br />

kept of each micro-model.<br />

1. System Splitting 2. Model Extraction 3. Node Selection<br />

6. Simulation with Specific<br />

Condition Setting<br />

Mean tem peratures<br />

25<br />

5. Model Coupling 4. Model Reduction<br />

T [deg]<br />

20<br />

15<br />

T interface model 2<br />

10<br />

tim e [s]<br />

5<br />

0<br />

10 -6 10 -4 10 -2 10 0 10 2 10 4<br />

Lagrange Multipliers<br />

Figure 9: Building Process of the Flex-CTM Methodology<br />

G,C<br />

matrices<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 21<br />

ISBN: 978-2-35500-010-2


TABLE I<br />

MODEL PARTS CHARACTERISTICS<br />

Part FEM Model Sub sampled Model Reduced Model<br />

Die 70k (2600) 65k (227) 335 (227)<br />

Package 147k (12k) 136k (356) 643 (356)<br />

Pins 69k (3400) 66k (200) 208 (200)<br />

PCB 1s0p 178k (900) 177k (100) 978 (100)<br />

PCB 2s2p 178k (900) 177k (100) 822 (100)<br />

Once the micro-models are available, they are coupled<br />

together through their corresponding coupling interfaces<br />

(E’). An assembly (die-package-pins) resulting of the<br />

coupling of the die, package and pins models is obtained.<br />

Then, to study the influence of the board, each PCB micromodel<br />

is connected successively to the assembly. So, two<br />

Flex-CTM of the whole system are built, one for each board.<br />

Finally, a power step source of 1 W is uniformly<br />

distributed on the Junction interface P'. Reference<br />

simulations of the global FEM model are computed for each<br />

board and the corresponding Flex-CTMs are simulated under<br />

the same simulation conditions (Figure 10).<br />

Figure 10: Original Model and Flex-CTM Temperatures<br />

The comparisons in terms of accuracy, model size and<br />

simulation time between the original CPGA and the Flex-<br />

CTM are summarized in TABLE II. The first Flex-CTM<br />

needs about 4 hours to be built. The second needs only one<br />

half hour because the assembly die-package-pins is reused.<br />

TABLE II<br />

ORIGINAL AND FLEX-CTM MODELS PERFORMANCES<br />

Model Size Simulation Time<br />

FEM Assembly 1s0p 530k 24 hours<br />

Flex-CTM 1s0p 1583 12 seconds<br />

FEM Assembly 2s2p 530k 24 hours<br />

Flex-CTM 2s2p 1427 12 seconds<br />

Maximal Absolute<br />

Error<br />

0.57°C<br />

0.52°C<br />

VII. CONCLUSIONS AND FUTURE WORK<br />

The Flex-CTM methodology meets the needs of electronic<br />

engineers to perform a fast temperature analysis of a<br />

complex electronic system at different integration levels.<br />

Flex-CTM are BCI, so they can be reused whatever the<br />

environment is. Many power sources can be applied on<br />

7-9 October 2009, Leuven, Belgium<br />

junction nodes allowing hot spot detection on a die.<br />

Moreover, Flex-CTM have a few node number, which<br />

allows multiple exploration or electro-thermal simulation in<br />

a short window of time. Finally, the methodology allows<br />

system designers to share their work at different integration<br />

levels.<br />

The methodology has been evaluated with a simple testcase<br />

at the package modeling level. The results show that<br />

Flex-CTM meet the specifications required, specifically in<br />

terms of accuracy and simulation time saving.<br />

The next step is now to enhance the methodology with an<br />

automated selection of the number and the position of nodes<br />

at the interfaces. This progress will ensure a higher accuracy<br />

and an optimal size of the Flex-CTM.<br />

Besides, several test cases covering multi-level design<br />

aspects are to be run in order to go on further the validation<br />

and to better characterize the methodology.<br />

Finally, a multi-source co-simulation test case will be<br />

studied to fit with a more realistic system.<br />

REFERENCES<br />

[1] H. Vinke and C. Lasance, "Compact Models for Accurate Thermal<br />

Characterization of Electronic Parts", IEEE Transactions on<br />

Components, Packaging and Manufacturing Technology – Part A,<br />

Vol. 20, NO. 4, December 1997<br />

[2] F. Chrisitiaens, B. Vandevelde, E. Beyne, R. Mertens and J.<br />

Berghmans, "A Generic Methodology for Deriving Compact<br />

Dynamic Thermal Models, Applied to the PSGA Package", IEEE<br />

Transactions on Components, Packaging and Manufacturing<br />

Technology – Part A, Vol. 21, NO. 4, December 1998<br />

[3] C. Lasance, "The European Project PROFIT: Prediction of<br />

Temperature Gradients Influencing the Quality of Electronic<br />

Products", Proceedings of the SEMITHERM XVII, pp. 120 – 125,<br />

2001<br />

[4] C. Lasance, "Highlights from the European Thermal Project<br />

PROFIT", Journal of Electronic Packaging, Vol 126, pp 565 – 570,<br />

December 2004<br />

[5] W. Huang, K. Sankaranarayanan R.J. Ribando, M.R. Stan and K.<br />

Skadron, "An Improved Block-Based Thermal Model in HotSpot<br />

4.0 with Granularity Considerations", Proceedings of the Workshop<br />

on Duplicating, Deconstructing, and Debunking (WDDD), in<br />

conjonction with the 34 th International Symposium on Computer<br />

Architecture (ISCA), 2007.<br />

[6] Hang Li, Pu Liu, Zhenyu Qi, Lingling Jin, Wei Wu, Sheldon<br />

X.D.Tan, and Jun Yang, "Efficient Thermal Simulation for<br />

RunTime Temperature Tracking and Management", Proceedings of<br />

the 2005 International Conference on Computer Design (ICCD'05).<br />

[7] D. Celo, X. Guo, P. K. Gunupudi, R. Khazaka, D.J. Walkey, T.<br />

Smy and M.S. Nakhla, "The Creation of Compact Thermal Models<br />

of Electronic Components Using Model Reduction," IEEE<br />

Transactions on Advanced Packaging, Vol. 28, NO. 2, May 2005.<br />

[8] L. Codecasa, D. D'Amore and P. Maffezzoni, "An Arnoldi Based<br />

Thermal Network Reduction Method for Electro-Thermal<br />

Analysis", IEEE Transactions on Components and Packaging<br />

Technologies, Vol. 26, No. 1, March 2003.<br />

[9] G. Strang, "Introduction to Applied Mathematics", Wellesley<br />

Cambridge. Press USA, 1986.<br />

[10] T. Bechtold, E. B. Rudnyi, M. Graf, A. Hierlemann, J.G. Korvink,<br />

"Connecting Heat Transfer Macromodels for MEMS Array<br />

Structures", Journal of Mechanics and Microengineering, 15(6), pp<br />

1205 – 1214, 2005<br />

[11] MatWeb, division of Automation Creations, Inc<br />

http://www.matweb.com<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 22<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Validation Studies of DELPHI-type Boundary-<br />

Condition-Independent Compact Thermal Model for<br />

an Opto-Electronic Package<br />

Arun P. Raghupathy, Attila Aranyosi, William Maltz<br />

Electronic Cooling Solutions, Inc.<br />

Santa Clara, CA 95051<br />

Urmila Ghia and Karman Ghia<br />

Computational Fluid Dynamics Research Laboratory<br />

University of Cincinnati, Cincinnati, OH 45220<br />

A Boundary-Condition-Independent (BCI) Compact<br />

Thermal Model (CTM) was generated for an opto-electronic<br />

transceiver package called SFP (Small Form-factor Pluggable<br />

device). The SFP has four internal power dissipating sources<br />

and the BCI CTM for the SFP was developed using the<br />

DELPHI methodology. This paper presents a detailed<br />

validation of the BCI CTM of the SFP in real-time applications<br />

using Flotherm, a Computational Fluid Dynamics (CFD)-based<br />

thermal analysis software package. The results show excellent<br />

agreement between the results predicted by the SFP CTM with<br />

the data from the detailed model and from the experiments.<br />

The SFP CTM predicts the junction temperature of the four<br />

power dissipating components and the heat flows through the<br />

sides with relative error less than 10%. In addition to accurate<br />

thermal characterization of the SFP, the SFP CTM facilitates a<br />

large order reduction (105 to 1) in the CFD-based<br />

computations. Advantages and limitations on using the<br />

DELPHI methodology for generation of CTM for the SFP are<br />

also discussed.<br />

method cannot be used for packages with multiple heat<br />

sources where the package surface does not adhere to the<br />

similarity condition. But as discussed in an earlier work<br />

[10], the DELPHI method can be used for modeling<br />

packages such as the SFP. Many approaches [11-16] have<br />

been suggested for modeling of components with multiple<br />

heat sources. But most of these methods cannot be used to<br />

readily represent the SFP in a commercial CFD-software<br />

because of the presence of four heat sources and the<br />

presence of radiation heat transfer within the package. A<br />

detailed introduction to the functions of the SFP and the<br />

need for its compact thermal model has been discussed in<br />

[10]. The study also presented a BCI CTM for the SFP<br />

(referred to as SFP CTM henceforth) that was developed<br />

using the DELPHI (DEvelopment of Libraries of PHysical<br />

models for an Integrated design) methodology.<br />

I. INTRODUCTION<br />

The Small Form-factor Pluggable device (SFP) [1], shown<br />

in Fig. 1, is an optical transceiver used in telecommunication<br />

and data-communication equipment such as<br />

routers and switches. The package has a detailed<br />

construction, and typically has four heat-generating sources<br />

as shown in Fig.2: Laser Diode (LD), Trans-impedance<br />

Amplifier (TIA), Laser Diode Driver (LDD) and Transceiver<br />

IC (Post Amp IC). Because of the presence of a laser diode,<br />

the component is thermally sensitive to its environment.<br />

Accurate CFD-based thermal analysis is necessary in order<br />

to design systems that use these optical transceivers. To<br />

capture the complete thermal characteristics accurately,<br />

detailed modeling of the SFP with hundreds of thousands of<br />

grid points are used. Therefore, it becomes impossible to<br />

have detailed SFP models within systems that use multiple<br />

SFPs. This creates a need to represent the SFP by a<br />

simplified model. The DELPHI (DEvelopment of Libraries<br />

of PHysical models for an Integrated design) project [2-8]<br />

was started to primarily address similar issues of single-heat<br />

source IC packages and to provide better thermal<br />

characterization. It has been used for multiple (two) heat<br />

sources by Assoud [9]. As discussed by Lasance [8], the<br />

Data out<br />

Data in<br />

Fig.1. Small Form Factor Pluggable Optical Transceiver<br />

Laser<br />

Diode<br />

Photo<br />

Diode (TIA)<br />

LDD<br />

PA IC<br />

Fig.2. Layout of a SFP<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 23<br />

ISBN: 978-2-35500-010-2


II.<br />

DEVELOPMENT OF CTM FOR SFP<br />

The CTM for the SFP was generated using the DELPHI<br />

methodology using Matlab 7 codes [18]. In house codes<br />

were developed for solving the conservation equations of the<br />

network topology and for interfacing with the optimization<br />

algorithm [21][22]. The codes were validated with<br />

experimental data from Aranyosi et al. [19][20]. Network<br />

topology was not constructed by reducing a fully connected<br />

network as suggested in [2]; rather, it was built from the<br />

bottom up by constructing simple networks and increasing<br />

their complexity. Many network topologies were analyzed<br />

and the resulting network topology used for representing the<br />

SFP is shown in Fig. 3. In this figure, nodes 1, 2, 3 and 4<br />

represent the four power dissipating components. The sides<br />

are represented by nodes 6, 7, 8 and 9. Node 5 represents a<br />

floating node used for redirecting heat flow. The front and<br />

back sides are insulated and are not represented by nodes.<br />

Node No.<br />

1<br />

6<br />

3 4<br />

8 5 9<br />

7<br />

Node Name<br />

1 Laser Diode<br />

Trans-impedance<br />

2 Amplifier<br />

3 Post Amp IC<br />

4 Laser Driver<br />

5 Floating Node<br />

6 Top Side<br />

7 Bottom Side<br />

8 Left Side<br />

9 Right Side<br />

Fig.3. Network topology for the SFP CTM<br />

2<br />

7-9 October 2009, Leuven, Belgium<br />

not an issue [8], it is important to understand the<br />

performance of the SFP CTM for thermal analysis in realtime<br />

situations. Therefore, the CTM is validated within<br />

Flotherm, a CFD-based design and analysis tool [17] for<br />

study of heat transfer in electronic packages.<br />

III.<br />

VALIDATION OF CTM FOR SFP<br />

The CTM for the SFP is validated with results from the<br />

detailed model for three environments representative of its<br />

wide application in the field; natural convection, natural<br />

convection with heatsink and forced convection.<br />

A. Case 1- Natural Convection<br />

Since the SFP dissipates low power (0.54 W), they are<br />

used in some systems without any forced cooling. In these<br />

systems, the SFPs are strategically placed so that they can be<br />

cooled by natural convection. The SFP CTM is first<br />

validated in a natural convection environment because the<br />

grid density requirement for a natural convection simulation<br />

is more stringent than one for the forced convection case.<br />

This is due to the small scales involved. Therefore, the first<br />

case is the simulation of a natural convection environment.<br />

For this simulation, the SFP is placed inside a vertical<br />

computational duct such that the left side of the detailed SFP<br />

model faces downward. Figure 4 shows the isometric view<br />

of the setup for simulating natural convection. Gravity is<br />

specified in the negative-X direction. There is no flow inlet.<br />

The details of the numerical setup are discussed in the latter<br />

sections.<br />

g<br />

This SFP CTM has been validated with multiple boundary<br />

conditions that are applied on the sides of the SFP by<br />

specifying heat transfer coefficients (h=1 to h=1000<br />

W/m2K)[10]. The CTM predicted results with relative<br />

errors less than 10% on the junction temperature of all the<br />

four heat generating sources. A maximum relative error of<br />

20% occurred on the heat flows predicted through the sides<br />

for unrealistic extreme cases. Although a stand-alone<br />

validation of the SFP CTM help validate the performance,<br />

the boundary conditions used were not representative of the<br />

practical situations in which the SFP CTM will be used. In<br />

practical applications, the sides of the SFP do not face<br />

uniform heat transfer coefficient on its sides. While this is<br />

Fig. 4 Isometric View showing the Setup of the SFP inside the Duct for<br />

Natural Convection<br />

B. Case 2- Natural Convection with Heat Sink<br />

For the second case, a heat sink is fixed on to the top<br />

face of the SFP as shown in Fig. 5. The heatsink has inplane<br />

base dimensions equal to the top face of the SFP (13.4<br />

mm x 37 mm) that is inside the duct with 2 mm thickness.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 24<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

The fins are 1 mm thick and there are ten fins. The heat sink<br />

is made of anodized aluminum with conductivity of 201<br />

W/m K. The design of the heatsink is done loosely based on<br />

W<br />

commercially available SFP heatsinks.<br />

Inlet<br />

C. Case 3- Forced Convection<br />

The SFP is setup inside the duct for simulation of<br />

forced convection. In this setup, the inlet is specified with<br />

fixed flow velocities. The flow velocities that are simulated<br />

are 0.5, 1, 2, 3 and 4 m/s. The setup is shown in Fig. 6.<br />

5W<br />

10W<br />

Fig. 7 Setup of the SFP inside the Duct<br />

Outlet<br />

Fig. 5 Side view showing the Setup of the SFP with Heat Sink inside the<br />

Duct for Natural Convection<br />

Fig. 8 Side View showing the Setup of the SFP inside the Duct<br />

Fig. 6 View showing the Setup of the SFP inside the Duct for Forced<br />

Convection<br />

III.<br />

NUMERICAL METHODOLOGY<br />

In Cases 1 through 3, the detailed model of the SFP is<br />

placed inside a computational duct with an ambient<br />

temperature of 20˚C. The front part of the SFP model<br />

protrudes outside of the duct on the side where the duct is<br />

fitted with a 5 mm thick bezel. This resembles the actual<br />

usage of the SFP where the front part of the SFP protrudes<br />

outside the bezel of the switch or router. The SFP model sits<br />

on the PCB which has a thickness of 1.65 mm. The<br />

upstream distance from the SFP model is five times the<br />

width of the SFP. The downstream distance is ten times the<br />

width of the SFP. This is shown in Fig. 7. A side view of the<br />

setup can be seen in Fig. 8. The detailed model sits on the<br />

evaluation board which has orthotropic conductivities of 33<br />

W/m K (in-plane) and 0.38 W/m K (normal). All the other<br />

elements in the model such as the walls of the duct and the<br />

bezel are considered to be non-conducting. Of the three<br />

cases, natural convection cases require the most attention<br />

while generating the mesh. ‘Regions’ in Flotherm allow for<br />

localized dense meshing with gradual increase in mesh size<br />

as it moves away from the object. ‘Region’ surrounding the<br />

detailed SFP model is used for both mesh control and for<br />

post processing the results. In post processing, they provide<br />

the heat flow through each side of the SFP.<br />

(b) Side View<br />

Fig. 9 Mesh for Natural Convection Case<br />

(a) Top View<br />

The top view of the mesh for the natural convection<br />

simulation is shown in Fig. 9a and the side view is shown in<br />

Fig. 9b. The side view clearly shows the use of localized<br />

meshing for the components within the SFP as well as in the<br />

environment surrounding the SFP. A similar mesh is used<br />

for the natural convection case with the heatsink. The heat<br />

sink has four grid cells between the fins in order to resolve<br />

the flow passing through the fins. The mesh used for the<br />

natural convection case is used for the forced convection<br />

case. Each case is checked for grid independency.<br />

The objective of the cases (Case 1 through 4) is to<br />

validate the CTM of the SFP in real-time situations. So far,<br />

only the use of detailed model of the SFP is mentioned. This<br />

is because meshing requirements for the detailed model is<br />

more complicated than for the SFP CTM. The SFP CTM<br />

does not require any meshing within the cuboid that<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 25<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

represents the CTM. It can be imagined to be a black box<br />

IV. RESULTS AND DISCUSSIONS<br />

with four nodes on each side and the remaining five nodes to The three cases are simulated with the detailed SFP<br />

be inside. In order to ensure that the environment does model. This is followed by deactivating the detailed SFP<br />

not change between the SFP CTM and the detailed SFP model and simulating the cases with the SFP CTM activated.<br />

model, the same mesh is used for the SFP CTM. The<br />

No parameter is changed between the two simulations. All<br />

number of nodes inside the SFP CTM is not changed at all<br />

simulations are initialized to an ambient temperature of<br />

even though it can be significantly reduced.<br />

20˚C. The predicted junction temperatures and heat flows are<br />

In order to do this, the SFP CTM is included in the obtained using ‘Tables’, a Flotherm feature. Results for each<br />

same “Assembly” in Flotherm and is placed at the exact of the cases are discussed below.<br />

location of the detailed SFP. By doing this the detailed<br />

model can either be activated or deactivated. This feature is<br />

shown in Figs. 10a and b. In Flotherm, when a model is A. Case 1- Natural Convection<br />

deactivated, although the object is removed from<br />

computations, the mesh does not change. By taking<br />

advantage of this feature, it is ensured that there is no change<br />

in external parameters for both the detailed SFP model and<br />

the SFP CTM.<br />

Junction temperature and heat flow through the sides<br />

are compared between the detailed and compact models of<br />

the SFP in Table 1. As seen from this table, the SFP CTM<br />

predicts the junction temperature for the active components<br />

and heat flow through the sides with a relative error of less<br />

than 2% of the results predicted by the detailed SFP model.<br />

TABLE I<br />

COMPARISON OF RESULTS PREDICTED BY SFP CTM AND SFP DETAILED MODEL FOR SIMULATION<br />

OF NATURAL CONVECTION<br />

Junction Temperature<br />

(˚C) CTM Detailed Error %<br />

Laser Diode 30.8 31.0 0.7<br />

TIA 128.9 129.9 0.8<br />

Laser Driver 32.4 32.1 -0.8<br />

Post Amp IC 39 38.7 -0.9<br />

Heat Flow (W)<br />

Top 0.0450 0.0454 0.8<br />

Bottom 0.4520 0.4494 -0.6<br />

Left 0.0285 0.0288 1.1<br />

Right 0.0151 0.0150 -0.6<br />

(a) Detailed Model Deactivated<br />

(b) SFP CTM Deactivated<br />

Fig. 10 Screenshot of Flotherm showing Activation and Deactivation of<br />

Models<br />

The complete set of Navier Stokes equations are solved<br />

with second order accuracy.<br />

The natural convection cases are done with a laminar<br />

model as the Rayleigh Number for the flow is 3.3e5. The<br />

forced convection cases are solved with the K-Epsilon<br />

turbulence model available in Flotherm. Radiative heat<br />

exchange is considered only between the surfaces of the SFP<br />

models to the ambient. None of the other surfaces (duct wall,<br />

bezel and PCB) participate in the radiation heat transfer.<br />

Modeling radiation in these components has minimal effect<br />

on the validation process and avoiding it significantly<br />

reduces the time consumed in solving the problem. Multigrid<br />

double precision solver is used for solving the<br />

equations. When the SFP CTM is activated instead of the<br />

detailed model, though the number of grid cells within the<br />

SFP CTM is large, the solver considers only conduction<br />

between the 9 nodes used to represent the SFP CTM.<br />

Apart from the above results, an analysis of the flow and<br />

temperature fields is necessary because of the close coupling<br />

between the two in the case of natural convection. The plots<br />

show that the error from the SFP CTM is significantly low.<br />

The temperature field and velocity field is compared at a<br />

plane passing through the middle of the SFP. This plane is<br />

shown in Fig. 11.<br />

Plane<br />

Fig. 11 Plane at which the Flow and Temperature Fields are analyzed<br />

The flow field is compared in Fig. 12. Figure 12a shows<br />

the velocity vectors plotted for the detailed SFP model and<br />

Fig. 12b shows the velocity vectors plotted for the SFP<br />

CTM. A comparison shows that the flow field predicted by<br />

the detailed SFP model and the SFP CTM is identical. A<br />

comparison of the temperature field from Figs. 13a and b<br />

shows that the maximum temperature in the plane is 31.5˚C<br />

for the detailed model while it is 32.4˚C in the case of the<br />

SFP CTM. The error in prediction of the surrounding flow<br />

and temperature field by the compact model is almost<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 26<br />

ISBN: 978-2-35500-010-2


negligible.<br />

7-9 October 2009, Leuven, Belgium<br />

detailed model is simulated first by keeping the SFP CTM<br />

deactivated. The junction temperature of the components and<br />

the heat flow through the sides are noted. The detailed model<br />

is deactivated now and the SFP CTM is activated. The results<br />

are recorded, and compared in Table 2. The table shows that<br />

the maximum error occurs in the junction temperature which is<br />

about 1.5 % deviant from the results predicted by the detailed<br />

model.<br />

TABLE II<br />

COMPARISON OF RESULTS PREDICTED BY SFP CTM AND SFP DETAILED MODEL FOR SIMULATION OF<br />

NATURAL CONVECTION WITH SFP HEATSINK<br />

(a) Detailed SFP Model<br />

(a) SFP CTM<br />

Fig. 12 Comparison of Flow Fields Predicted by the Detailed and Compact<br />

Models for Simulation of Natural Convection<br />

Junction<br />

Temperatures (˚C)<br />

CTM<br />

Detailed<br />

Model<br />

Error<br />

%<br />

Laser Diode 29.9 30.3 1.1<br />

TIA 128.1 129.1 0.8<br />

Laser Driver 31.6 31.4 -0.4<br />

Post Amp IC 38.2 37.9 -0.8<br />

Heat Flow (W)<br />

Top 0.1080 0.1084 0.4<br />

Bottom 0.4000 0.3998 -0.1<br />

Left 0.0212 0.0214 1.3<br />

Right 0.0102 0.0101 -0.7<br />

For this case, the flow and temperature fields are compared at a<br />

plane passing through the middle of the heatsink. The location<br />

of the plane is shown in Fig.14<br />

Plane<br />

Fig. 14 Plane at which the Flow and Temperature Fields are analyzed for SFP<br />

with Heatsink<br />

(a) Detailed SFP Model<br />

Figs. 15a and b compare the flow fields on the plane passing<br />

through the middle of the SFP heatsink. The figures show that<br />

the detailed model predicts a slightly higher maximum velocity<br />

(0.132 m/s) than the maximum velocity predicted by the<br />

compact model (0.127 m/s). The error is about 4%. Figs.16a<br />

and b compare the temperature fields on the same plane for the<br />

detailed and compact SFP models. There is a maximum of 1%<br />

relative error seen in the temperature field predicted by the SFP<br />

CTM.<br />

(b) SFP CTM<br />

Fig. 13 Comparison of Temperature Fields Predicted by the Detailed and<br />

Compact Models for Simulation of Natural Convection<br />

B. Case 2- Natural Convection with Heat Sink<br />

In this case, the SFP was fitted with a heatsink on its<br />

top surface. This increases the heat flow through the top. The<br />

(a) Detailed SFP Model<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 27<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

and compact model for velocities of 0.5, 1, 2, 3 and 4 m/s<br />

respectively. In Table 3, the maximum error of 6.3% occurs<br />

on the heat flow prediction only when the SFP model is<br />

exposed to a low forced convection environment of 0.5 m/s.<br />

In all other cases, the maximum error is less than 4% on the<br />

heat flow prediction. The junction temperatures are<br />

predicted with errors less than 2%. Detailed analysis of flow<br />

and temperature fields is not necessary as small variations in<br />

temperature do not change the flow field prediction in forced<br />

convection environments.<br />

(b) SFP CTM<br />

Fig. 15 Comparison of Flow Fields Predicted by the Detailed and Compact<br />

Models for Simulation of Natural Convection with SFP Heatsink<br />

TABLE III<br />

COMPARISON OF RESULTS FOR FIXED FLOW VELOCITY OF 0.5 M/S<br />

Junction<br />

Temperatures (˚C)<br />

CTM<br />

Detailed<br />

Model<br />

Error<br />

%<br />

Laser Diode 26.7 27.1 1.5<br />

TIA 124.8 126.0 0.9<br />

Laser Driver 28.3 28.2 -0.5<br />

Post Amp IC 35.0 34.7 -0.8<br />

Heat Flow (W)<br />

Top 0.0560 0.0568 1.4<br />

Bottom 0.4232 0.4182 -1.2<br />

Left 0.0469 0.0485 3.2<br />

Right 0.0145 0.0137 -6.3<br />

(a) Detailed SFP Model<br />

(b) SFP CTM<br />

Fig. 16 Comparison of Temperature Fields Predicted by the Detailed and<br />

Compact Models for Simulation of Natural Convection with SFP Heat Sink<br />

TABLE IV<br />

COMPARISON OF RESULTS FOR FIXED FLOW VELOCITY OF 1 M/S<br />

Junction<br />

Temperatures (˚C)<br />

CTM<br />

Detailed<br />

Model<br />

Error<br />

%<br />

Laser Diode 25.7 26.0 1.3<br />

TIA 123.8 124.9 0.9<br />

Laser Driver 27.3 27.1 -0.7<br />

Post Amp IC 33.95 33.7 -0.7<br />

Heat Flow (W)<br />

Top 0.0579 0.0589 1.7<br />

Bottom 0.4085 0.4027 -1.4<br />

Left 0.0560 0.0581 3.7<br />

Right 0.0183 0.0182 -0.6<br />

C. Case 3- Forced Convection<br />

The detailed SFP model is now placed inside the duct<br />

with a fixed flow inlet. Flow inlet velocities of 0.5, 1, 2, 3<br />

and 4 m/s are simulated and the data is recorded. After<br />

replacing the detailed model with the SFP CTM, the flow is<br />

simulated for the four velocities. The data between the SFP<br />

CTM and the detailed model is tabulated for each flow<br />

velocity. The flow pattern causes impingement on the left<br />

face of the SFP, recirculation on the right face of the SFP,<br />

and separating flow over the top face of the SFP. The<br />

convective heat transfer coefficient varies considerably from<br />

one point to another point on the surface of the SFP on all<br />

sides. Tables 3 through 7 show the comparison of the<br />

junction temperature and heat flow predicted by the detailed<br />

TABLE V<br />

COMPARISON OF RESULTS FOR FIXED FLOW VELOCITY OF 2 M/S<br />

Junction<br />

Temperatures (˚C)<br />

CTM<br />

Detailed<br />

Model<br />

Error<br />

%<br />

Laser Diode 24.9 25.2 1.3<br />

TIA 123.0 124.1 0.9<br />

Laser Driver 26.5 26.3 -0.8<br />

Post Amp IC 33.2 32.8 -1.1<br />

Heat Flow (W)<br />

Top 0.0664 0.0677 2.0<br />

Bottom 0.3829 0.3763 -1.8<br />

Left 0.0672 0.0695 3.3<br />

Right 0.0243 0.0248 1.9<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 28<br />

ISBN: 978-2-35500-010-2


TABLE VI<br />

COMPARISON OF RESULTS FOR FIXED FLOW VELOCITY OF 3 M/S<br />

Junction<br />

Temperatures (˚C)<br />

CTM<br />

Detailed<br />

Model<br />

Error<br />

%<br />

Laser Diode 24.5 24.8 1.3<br />

TIA 122.6 123.7 0.9<br />

Laser Driver 26.1 25.9 -0.9<br />

Post Amp IC 32.8 32.4 -1.1<br />

Heat Flow (W)<br />

Top 0.0733 0.0749 2.2<br />

Bottom 0.3638 0.3567 -2.0<br />

Left 0.0734 0.0756 2.9<br />

Right 0.0303 0.0312 2.9<br />

TABLE VII<br />

COMPARISON OF RESULTS FOR FIXED FLOW VELOCITY OF 4 M/S<br />

Junction<br />

Temperatures (˚C)<br />

CTM<br />

Detailed<br />

Model<br />

Error<br />

%<br />

Laser Diode 24.3 24.6 1.4<br />

TIA 122.4 123.5 0.9<br />

Laser Driver 25.9 25.7 -0.8<br />

Post Amp IC 32.5 32.2 -1.1<br />

Heat Flow (W)<br />

Top 0.0834 0.0848 1.6<br />

Bottom 0.3445 0.3377 -2.0<br />

Left 0.0777 0.0798 2.6<br />

Right 0.0351 0.0363 3.1<br />

7-9 October 2009, Leuven, Belgium<br />

of the current research. One of the limitations of the<br />

methodology is the trial and error method used for laying out<br />

the network topology. Although many different network<br />

topologies were experimented, the network topology<br />

suggested for the SFP CTM in the current work does not<br />

have to be the final best network possible. There can be any<br />

other types of network topologies, especially ones that have<br />

multiple internal nodes, which can provide better redirection<br />

of heat flow. The CTM is obtained through the<br />

optimization of the cost function. It was found that the CTM<br />

obtained was sensitive to initial start values of the resistors,<br />

the upper and lower bounds on the resistors and the error<br />

constraints. The authors realize that some of these limitations<br />

can be overcome using the commercial code<br />

DOTCOMP[23] which will be a subject for later study.<br />

VI.<br />

CONCLUSION<br />

The CTM for the SFP has been generated using the<br />

DELPHI Methodology. It has been validated up to the point<br />

of end-user usage, which is usage in CFD codes for thermal<br />

design and analysis in practical applications. The SFP CTM<br />

is validated in real-time situations such as natural<br />

convection, natural convection with heat sink fitted on the<br />

SFP and forced convection for different velocities. In all<br />

cases the SFP CTM predicted the junction temperature of all<br />

its four active components with relative error of less than<br />

10%. The errors on the heat flows through the sides were<br />

also less than 10%. Thus, the SFP CTM can be used in<br />

detailed system-level models for accurate prediction with a<br />

large reduction in computational resources.<br />

V. ADVANTAGES AND LIMITATIONS OF<br />

GENERATING CTM FOR SFP BY DELPHI<br />

METHODOLOGY<br />

From the results, the DELPHI approach to generate CTMs<br />

for SFP has proved to be very successful. This study shows<br />

the obvious advantage of the DELPHI methodology – the<br />

CTM for the SFP can be readily implemented for thermal<br />

design and analysis of systems that use SFPs. Apart from<br />

this, the results have shown that CTMs can be generated for<br />

SFPs and SFP-like packages which have multiple-heat<br />

sources using the DELPHI methodology. Also, the<br />

methodology allows for better thermal characterization of<br />

the SFP package by identifying junction temperatures as an<br />

important quantity (as opposed to specifying case<br />

temperatures of SFPs). The DELPHI-type CTM for the<br />

SFP allows representation of the component using nine<br />

nodes instead of hundreds of thousands of grid cells greatly<br />

simplifying CFD-based computations to a matter of seconds<br />

than hours. This type of reduction also allows accurate<br />

analysis of system-level models that employ a huge number<br />

of SFPs. Also, the CTM generated by this method is highly<br />

portable; CTMs can be distributed in the form of library<br />

models in CFD-based software such as Icepak and Flotherm.<br />

Although there are significant advantages of the<br />

application of DELPHI methodology to generate CTM for<br />

the SFP, some limitations were identified during the course<br />

ACKNOWLEDGMENT<br />

The authors acknowledge the support of Electronic<br />

Cooling Solutions and the Desktop Switching Business Unit<br />

of Cisco Systems, California, for funding of this research.<br />

The authors are grateful for the support of David<br />

Meadowcroft and Ron Kaneshiro of Avago Technologies,<br />

California, for providing enthusiastic support of the overall<br />

research and more specifically with the experimental section.<br />

The authors also acknowledge the assistance of Dr. Sarang<br />

Shidore of Mentor Graphics in modeling the compact<br />

thermal model in Flotherm.<br />

REFERENCES<br />

[1] Small Form-factor Pluggable (SFP) Transceiver Multi-Source<br />

Agreement (MSA), “Cooperation Agreement for Small Form-Factor<br />

Pluggable Transceivers”, September 14, 2000.<br />

[2] Lasance, C., Vinke, H., Rosten, H., and Weiner, K.L., 1995, “A Novel<br />

Approach for the Thermal Characterization of Electronic Parts”,<br />

Proc. of SEMITHERM XI, San Jose, CA, pp. 1-9.<br />

[3] Rosten, H. I., Lasance, C. J. M., Parry, J.D., “The World of Thermal<br />

Characterization According to DELPHI—Part I: Background to<br />

DELPHI” IEEE Transactions on Components, Packaging and<br />

Manufacturing Technology, Part A, Vol. 20, No. 4, December 1997.<br />

[4] Rosten, H. I., Lasance, C. J. M., Parry, J.D., “The World Of Thermal<br />

Characterization According To DELPHI—Part II: Experimental and<br />

Numerical Methods”, IEEE Transactions on Components, Packaging<br />

and Manufacturing Technology, Part A, Vol. 20, No. 4, December<br />

1997.<br />

[5] Lasance, C.J.M., “Special Section on Compact Thermal Modeling”,<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 29<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

IEEE Transactions on Components and Packaging Technologies,<br />

Vol. 26, No. 1, March 2003.<br />

[6] Lasance, C.J.M, ‘‘Recent Progress in Thermal Compact Modeling,’’<br />

Proc. SEMITHERM XIX, March, San Jose, pp. 290–299, 2003.<br />

[7] Lasance, C.J.M, Foreword, THERMINIC Special Section, IEEE<br />

Transactions on Components and Packaging Technologies, Vol 28,<br />

No. 4, December 2005.<br />

[8] Lasance, C. J. M., 2008, “Ten Years of Boundary-Condition-<br />

Independent Compact Thermal Modeling of Electronic Parts: A<br />

Review”, Heat Transfer Engineering, Vol. 29, No.2, pp. 149-168.<br />

[9] Assouad, Y., Gatfosse, F., and Gautier, T., “Transient<br />

Characterization and Modeling of Ceramic Packages,” in Proc. 2nd<br />

THERMINIC Int. Workshop Thermal Investigations IC’s<br />

Microstruc., Budapest, September 1995.<br />

[10] Raghupathy, A. P., Aranyosi, A., Ghia, U., Ghia, K., and Maltz, W.,<br />

“Development of Boundary-Condition-Independent Compact<br />

Thermal Models for Opto-Electronic Packages”, ASME Interpack,<br />

IPACK 2009-89092, San Fransico, CA, July 2009.<br />

[11] Sabry, M. N., 2007, “Flexible Profile Compact Thermal Models for<br />

Practical Geometries” Trans. of the ASME, pp.256-259 Vol. 129.<br />

[12] Bosch, E. G. T., “Thermal Compact Models: An Alternative<br />

Approach,” Proc. THERMINIC 7, Paris, France, pp. 191–196, Sept.<br />

25–28, 2001.<br />

[13] Bosch, E., and Sabry, M. N., “Thermal Compact Models for<br />

Electronic Systems,” in Proc. SEMITHERM XVIII, pp. 21–29, 2002.<br />

[14] Sabry, M. N., “Dynamic Compact Thermal Models Used for<br />

Electronic Design: A Review of Recent Progress,” Proceedings of<br />

IPACK03, International Electronic Packaging Technical Conference<br />

and Exhibition, Maui, HI, Interpack 2003-35185, 2003.<br />

[15] Sabry, M. N., “High-Precision Compact-Thermal Models”, IEEE<br />

Transactions on Components and Packaging Technologies, Vol. 28,<br />

No. 4, December 2005.<br />

[16] Sabry, M. N.,“Flexible Profile Compact Thermal Models for<br />

Practical Geometries” Transactions of the ASME, pp.256-259 Vol.<br />

129, September 2007.<br />

[17] www.flomerics.com/products/flotherm<br />

[18] Matlab, User Manual, http://www.mathworks.com/<br />

[19] Aranyosi, A., Ortega, A., Griffin, R., West, S., and Edwards, D.,<br />

“Compact Thermal Models of Packages used in Conduction Cooled<br />

Applications,” IEEE Trans. Comp. Packag. Technol., vol. 23, p. 470,<br />

2000.<br />

[20] Aranyosi, A., Ortega, A., Evans, J., Tarter, T., Pursel, J.,<br />

Radhakrishnan, J, “Development of Compact Thermal Models for<br />

Advanced Electronic Packaging: Methodology and Experimental<br />

Validation for a Single-Chip CPGA Package”, IEEE Inter Society<br />

Conference on Thermal Phenomena, 2000<br />

[21] Tomlab, User Manual, http://tomopt.com/<br />

[22] http://www.conopt.com/<br />

[23] Lasance, C.J.M., Hertog, D.D., and Stehouwer, P., “Creation and<br />

Evaluation of Compact Models for Thermal Characterization using<br />

Dedicated Optimization Software,” Proc. SEMITHERM XV, San<br />

Diego, CA, pp. 189–200, 1999.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 30<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Spatial and temporal temperature variations in CMOS<br />

designs<br />

J.H.J.Janssen<br />

NXP Semiconductors<br />

Gerstweg 2, 6534AE Nijmegen The Netherlands<br />

H.J.M.Veendrick<br />

NXP Semiconductors<br />

HTC-32, 5656AE Eindhoven The Netherlands<br />

Abstract<br />

Due to the rapid growth in the number of transistors per<br />

chip, the power consumption of the average nMOS ASIC<br />

reached the level of one Watt, which is, in order of magnitude,<br />

about the maximum power consumption allowed for a cheap<br />

plastic package without thermal enhancements like drop-in<br />

heat spreader, fused leads or exposed pads. The internal chip<br />

temperature is the result of the combination of the chip power,<br />

the package, a possible heatsink, the application board and the<br />

airflow conditions. This requires a reasonably accurate model<br />

that includes the thermal properties of the chip, the bonds, the<br />

package and the system.<br />

I. INTRODUCTION<br />

The average power consumption of integrated circuits has<br />

been steadily increasing since they have been introduced<br />

about five decades ago. Until the early eighties, nMOS<br />

technology was the most dominant VLSI technology. Logic<br />

gates, then, were built from an nMOS transistor pull-down<br />

circuit representing the logic function in combination with a<br />

single nMOS load transistor, keeping the output high, when<br />

the pull-down circuit was off. This logic had a major<br />

disadvantage in that every logic gate whose output was zero,<br />

meaning that the pull-down circuit was on, consumed static<br />

power as long as this logic zero state was maintained. On the<br />

average this means that half the number of logic gates was<br />

continuously consuming static power, which was about an<br />

order of magnitude more than the switching power. Due to<br />

the rapid growth in the number of transistors per chip, the<br />

power consumption of the average nMOS ASIC reached the<br />

level of one Watt, which is, in order of magnitude, about the<br />

maximum power consumption allowed for a cheap plastic<br />

package without thermal enhancements like drop-in heat<br />

spreader, fused leads or exposed pads. This forced the drive<br />

from nMOS to CMOS technology and circuits in the early<br />

80ies. Due to the absence of simultaneous conduction of the<br />

nMOS and pMOS transistors in a CMOS logic gate, these<br />

did not show any static power consumption. Therefore, an<br />

average CMOS chip, at that time, consumed about a factor<br />

of ten to twenty less than its nMOS counterpart. However,<br />

today, about 25 years later, the average CMOS chip has<br />

reached this package-limited power level of one Watt again,<br />

but with no alternative technology solution. As a result, a<br />

“less power” attitude is a must at all hierarchy levels of<br />

design. Even when that is the case, the average power<br />

consumption of an integrated circuit is still expected to<br />

continuously increase.<br />

Variability is another point of concern. Spatial variations<br />

are variations due to the fact that identical devices have a<br />

different physical position and environment. This variations<br />

may cause a different behaviour of identical transistors due<br />

to a variation in the channel doping level, a different<br />

orientation, a temperature gradient across the chip, a<br />

different metal coverage or other proximity effects, such as<br />

mechanical stress (e.g., STI stress), the position of a well in<br />

the vicinity of a transistor (well-proximity effect), and/or<br />

pattern shape deviations as a result of imperfect lithographic<br />

imaging and pattern density variations.<br />

Time-based variations include signal integrity effects,<br />

such as cross talk, supply noise, ground bounce, and iR-drop,<br />

but also temperature variations over time, due to variations<br />

in workload.<br />

From the above we see that the temperature contributes to<br />

both spatial and time-based variations. This combined with<br />

the increasing power consumption leads to the idea that the<br />

momentary temperature gradient across the chip can vary a<br />

lot with the workload. This may cause circuits in certain<br />

regions on the chip to slow down at a different rate then<br />

others, which will certainly make the performance of a<br />

digital circuit less predictable, and the timing closure more<br />

complex.<br />

In addition to this, steep and large temperature gradients<br />

(hot spots) may also cause physical stress or may cause the<br />

local temperature to exceed the maximum specified<br />

temperature.<br />

To really visualise the overall impact of the power<br />

distribution across a chip, we first need to make the<br />

transition from power distribution to temperature distribution<br />

and then discuss the influence of the temperature on the<br />

speed of the circuit. Temperature distributions are simulated<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 31<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

using a compact model of the total physical structure of a<br />

packaged die, including heat spreader.<br />

II. FROM POWER DISTRIBUTION TO TEMPERATURE<br />

DISTRIBUTION<br />

Even when the power distribution across an SoC is<br />

accurately known, the temperature distribution can still be<br />

very different, depending on the thermal behaviour of the<br />

package and the thermal design of the application. This<br />

requires a reasonably accurate model that includes the<br />

thermal properties of the chip, the bonds, the package and<br />

the system. One way to achieve this is to use Compact<br />

Thermal Models (CTM), simple board simulation tools like<br />

COMIC and a Finite Elements (FE) program [1]. CTM’s are<br />

widely used for predicting the maximum junction<br />

temperatures. However, temperature gradients do not belong<br />

to its predicting capability. In order to get to know the<br />

temperature gradient across the die, first a CTM must be<br />

derived. Next the CTM will be used in combination with<br />

COMIC to predict the thermal behaviour of the product in a<br />

simplified application. One of the calculation results is the<br />

effective Heat Transfer Coefficient (HTC) which is working<br />

on the ‘thermal’ contacts of the package with the application<br />

PCB. Next, these HTC’s will be used as thermal boundary<br />

conditions in the FE program. Since the FE model of the<br />

package contains a detailed description of the floorplan of<br />

the IC an accurate prediction of the temperature can be<br />

achieved.<br />

The chip used for case study<br />

Many thermal simulations on integrated circuits are<br />

performed on complex and high-speed processors,<br />

consuming between 50W to a 100W [2, 3]. In [2], the total<br />

temperature variation across the chip at a maximum power<br />

consumption of P total =32.3W is: ΔT=31.3 0 C, with a<br />

minimum temperature of T min =106.1 0 C and a maximum of<br />

T max =137.4 0 C. This minimum and maximum temperature<br />

values, as well as the chip locations where these occur, are<br />

very much dependent on the floorplan of the chip and of the<br />

applied package.<br />

For our research, we use an advanced video and graphics<br />

processor chip used in very advanced digital TV sets. Figure<br />

1 shows the power distribution, based on the physical<br />

position of the processor, controller, memory and interface<br />

cores, when all cores are operating at maximum<br />

performance.<br />

low-performance interfaces<br />

low-performance interfaces<br />

U3<br />

0.8W<br />

U4<br />

0.515W<br />

U2<br />

0.294W 2.94W<br />

U8<br />

0.83W<br />

DDR interface<br />

U1<br />

1.11W<br />

U5<br />

0.21W U6<br />

0.26W<br />

low-performance interfaces<br />

U7<br />

2.02W<br />

Fig. 1. Original floorplan and power distribution during full operation of all<br />

IP cores.<br />

The die would be mounted into a HBGA 40 x 40 mm<br />

package, including a copper drop-in heat spreader. Figure 2<br />

shows a cross section of the total physical structure that has<br />

been included in the model for simulations.<br />

Fig. 2. Physical structure that has been included in the model for<br />

simulations.<br />

DDR interface<br />

Low-Performance<br />

interfaces<br />

Next, the above floorplan, together with the power<br />

numbers were also fed into the finite elements model and<br />

simulated. The goal of the simulations is twofold. First it is<br />

important to predict the overall temperature distribution<br />

across the die and check whether, at some local spot, it<br />

would exceed the maximum specified temperature of 125 0 C.<br />

Second, a large temperature variation may have severe<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 32<br />

ISBN: 978-2-35500-010-2


impact on the mechanical stress within and in between the<br />

various compounds of the packaged die.<br />

Figure 3 shows the resulting temperature map, with a<br />

maximum temperature of 125 0 C in the right upper corner<br />

and a maximum temperature gradient of 23 0 C<br />

7-9 October 2009, Leuven, Belgium<br />

Figure 5 shows the new temperature map as a result of the<br />

simulation for the new floorplan.<br />

Fig. 5. Temperature map of the die with the new floorplan.<br />

Fig, 3. Temperature map of the die from the FE model temperature<br />

simulations for the die glued in a BGA 40 x 40 mm package, including an<br />

internal copper heat spreader and an external heat sink on top of the<br />

package.<br />

This gradient was considered as too high for the<br />

application, particularly for the introduced mechanical stress.<br />

Therefore the floorplan was changed in such a way that the<br />

most intensive power consuming blocks were more evenly<br />

distributed over the die, with the aim to reduce the gradient<br />

to a level of 10 0 C max, set by the chip architect. The final<br />

floorplan is shown in figure 4.<br />

Although the maximum temperature of 1250C still<br />

remains, the map clearly shows that the maximum<br />

temperature variation over the die is limited to only 5.3<br />

degrees, which is even less than required from the<br />

application.<br />

The following section shows that in a 45nm CMOS<br />

technology, the temperature variation across the die has<br />

much less influence on the performance than in conventional<br />

technologies.<br />

III. FROM TEMPERATURE TO PERFORMANCE<br />

An increase in the operating temperature of a MOS<br />

transistor affects its behaviour in two different ways:<br />

1. The mobility of the majority carriers, e.g., electrons in<br />

an nMOS transistor, in the channel decreases. Consequently,<br />

since the transistor gain factor β□ = μ.C ox , also β□ decreases.<br />

Its temperature dependence can be estimated with [4, 7]:<br />

β□(Temp) = β□(298 K) x (298/Temp) 3/2 (1)<br />

The exponent 3/2 in this expression is more applicable to<br />

the electron mobility. For holes this exponent is closer to 1.<br />

PMOS transistor currents are therefore less temperature<br />

dependent than those of nMOS transistors.<br />

2. The threshold voltage V T of both nMOS and pMOS<br />

transistors decreases slightly. The magnitude of the influence<br />

of temperature change on threshold voltage variation V T<br />

depends on the substrate doping level. A variation of<br />

-0.7mV/ 0 C is quite typical.<br />

Both effects have different consequences for the speed of<br />

an IC. This speed is determined by the delay τ of a logic<br />

gate, which is defined as:<br />

Fig. 4. Final floorplan and power distribution during full operation of all IP.<br />

Cores.<br />

τ = CV/I = 2CV/(β(V gs -V T ) 2 (2)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 33<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

supply voltage of 1.2V in this technology, the frequency<br />

In conventional CMOS processes the overall circuit reduces with increasing temperature, while below this voltage<br />

performance reduces dramatically with increasing the effect is opposite. For the same ring oscillator fabricated<br />

temperatures, because the effect of the mobility reduction in with a standard V T , this ZTC is reduced to 0.95 V.<br />

the transistor current, represented by β□, was traditionally As a result of this varying temperature behaviour, the worstcase<br />

and best-case corners for simulation need to be<br />

much larger than the effect of the reduction of the threshold<br />

voltage V T . This was one of the reasons to keep high-speed reconsidered, since for modern CMOS technologies a higher<br />

processors cool, by using a fan. Also worst-case corner temperature not automatically corresponds to a lower<br />

simulations were usually done at high temperatures. performance! For the 45nm technology node and beyond, the<br />

However, today's CMOS technologies offer several different temperature effect will diminish further, because of an<br />

threshold voltages to support both high-speed and lowleakage<br />

increasing compensation of the β□ and V T contributions to the<br />

applications. For general-purpose and high-speed transistor current [8].<br />

processes, V T is relatively low and a further reduction with ZTC also has consequences for certain failure analysis methods<br />

0.7mV/ 0 C has less influence on this speed than the reduction that use local heating to detect changes in circuit behaviour,<br />

in the β□.<br />

because these changes will become smaller and less visible in<br />

For low-leakage processes, with a relatively large V T , both modern technologies [9].<br />

effects partly compensate each other, because of the From the above it is clear that thermal simulations on ICs in<br />

increasing competition between mobility and threshold advanced CMOS technologies are very important to verify<br />

voltage, so that there is a reduced influence on the speed. At whether the maximum temperature does not exceed the<br />

a certain supply voltage the above two mechanisms fully specified temperature, and that the temperature variations<br />

cancel each others contribution to the transistor current, such across the die remain limited to avoid potential mechanical<br />

that the circuit speed has no longer a relation with the stress in and between the all physical compounds of the<br />

temperature. This is the so-called zero-temperaturecoefficient<br />

package mounted on a board. However, thermal simulations<br />

(ZTC) voltage [5]. This reducing temperature have become less important for the prediction of the<br />

dependence, which is expected to continue with further performance variations across the die, due to the weaker impact<br />

scaling of the supply voltage, has serious consequences for of the temperature on the current behaviour of the individual<br />

the static timing analysis, as it may invalidate the approach transistors.<br />

of defining PVT (process, voltage and temperature) corners,<br />

by independently varying voltage and temperature [6].<br />

Figure 2 shows the frequency response of a high-V T ring<br />

oscillator as a function of the supply voltage, for different<br />

operating temperatures [7].<br />

Fig. 2. Ring oscillator frequency responses as a function of the supply<br />

voltage at different temperatures.<br />

Above the ZTC voltage of 1.1 V, which is close to the nominal<br />

IV. CONCLUSIONS<br />

On-chip temperature variations had a relatively large impact on<br />

the performance of the various cores on a die in conventional<br />

CMOS technologies. In an advanced 45nm CMOS technology,<br />

however, the relation between the temperature and the<br />

performance is much weaker, because the reduction in<br />

mobility, due to a higher temperature, is greatly compensated<br />

by a reduction in the threshold voltage. However, prediction of<br />

the absolute temperature is still important to meet the<br />

specification requirements of the application. Next to that, it is<br />

also important to limit the temperature variations across the<br />

mounted die in order to reduce mechanical stress.<br />

Therefore, extensive thermal simulations have been performed<br />

for a complex video and graphics processing chip made for<br />

digital TV applications. The target was to create an overall<br />

temperature distribution diagram across the die, based on an<br />

accurate compact model that includes all compounds from dieto-package-to-board.<br />

The first floorplan of the chip showed a<br />

temperature distribution in which the temperature variations<br />

were too large for the targeted application area. This has led to<br />

a change in the floorplan of the cores on the die. The final<br />

floorplan resulted in a maximum temperature variation over the<br />

die of 5 0 C, compared to the variation of 23 0 C of the original<br />

floorplan.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 34<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

REFERENCES<br />

[1] H. Pape, et al., “Thermal Transient Modeling and Experimental<br />

Validation in the European Project PROFIT”, IEEE transactions on<br />

Components and Packaging Technologies, Vol. 27, No 3,<br />

September 2004<br />

[2] Peng Li, et al., “Efficient Full-Chip Thermal Modeling and<br />

Analysis” IEEE/ACM International Conference on Computer Aided<br />

Design (ICCAD-2004), 2004<br />

[3] T. Sato, et al., “On-Chip Thermal Gradient Analysis Considering<br />

Interdependence between Leakage Power and Temperature”, IEICE<br />

Trans, Fundamentals, Vol.E89-A, No 12 Dec. 2006<br />

[4] R.S.C. Cobbold, `Theory and applications of field effect transistors',<br />

John Wiley & Sons, Inc. New York<br />

[5] I.M. Filanovsky, A. Allam, “Mutual Compensation of Mobility and<br />

Threshold Voltage Temperature effects with Applications in CMOS<br />

Circuits”, IEEE Transactions on Circuits and Systems:<br />

Fundamental Theory and Applications, vol.48, no.7, pp. 876-884,<br />

July 2001<br />

[6] A. Dasnan, et al., “ Handling Inverted Temperature Dependence in<br />

Static Timing Analysis”, ACM Transactions on Design<br />

Automationof Electronic Systems, Vol. 11, No. 2, April 2006, pp.<br />

306-324<br />

[7] H.Veendrick, “Nanometer CMOS ICs, from Basics to ASICs”,<br />

Springer, 2008, ISBN 978-1-4020-8332-7, pp. 71-73<br />

[8] R. Kumar, et al., “Reversed Temperature-Dependent Propagation<br />

Delay Characteristics in Nanometer CMOS Circuits”, IEEE<br />

Transactions on Circuits and Systems-II: Express Briefs, Vol. 11,<br />

No.2, April 2006, pp. 1078-1082<br />

[9] E. Long, et al. “Detection of Temperature Sensitive Defects Using<br />

ZTC”, Proceedings of 22nd IEEE VLSI Test Symposium (VTS<br />

2004)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 35<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Numerical Simulation of<br />

Complex Submicron Devices with<br />

Experimentally Determined Power Maps<br />

Peter E. RAAD*, Mihai G. BURZO, and Pavel L. KOMAROV<br />

IEEE Conference <strong>Publishing</strong><br />

Southern Methodist University and TMX Scientific,<br />

5232 Tennyson Pkwy, Bldg. 2, Plano, TX 75024, U.S.A.<br />

Abstract – Both computational and experimental methods<br />

play a key role in thermal characterization, particularly in<br />

prototyping and design with each having their own set of<br />

strengths and weakness. In this work the authors demonstrate<br />

that simulation methods can provide temperature information<br />

in three spatial dimensions and in critical regions that are<br />

inaccessible to measurement tools. Combining the<br />

complementary tools of experimentation and simulation can<br />

leverage their respective strengths and create a coupled<br />

approach that is vastly more powerful than either tool alone.<br />

I. INTRODUCTION<br />

As electronic devices have shrunk, become more complex,<br />

and experienced significant increases in local power<br />

densities, their cooling problems have grown, to the point<br />

that many now wonder whether Moore’s law is still<br />

applicable. Irrespectively, heat extraction remains a serious<br />

impediment in the continuing evolution of electronic<br />

devices. Given society’s insatiable appetite for electronic<br />

processing, effective solutions to the unavoidable thermal<br />

issues are needed, which requires significant improvements<br />

in our knowledge of the actual temperature distributions<br />

within devices. Specifically, direct knowledge of thermal<br />

behavior is pivotal to efforts to improve analysis and design,<br />

shorten design cycle time, assess reliability, and diagnose<br />

defects. However, the same culprits that have accentuated<br />

the thermal issues – device complexity and shrinking feature<br />

sizes – make realistic computations a challenging pursuit.<br />

The difficult issue is associated with having to resolve<br />

numerically the wide range of scales that characterize<br />

microelectronic devices.<br />

A. Numerical Simulation of Submicron Complex Devices<br />

The authors have developed a transient, ultra-fast, selfadaptive<br />

method that is capable of accurately and efficiently<br />

solving thermal transient problems that are characterized by<br />

a large range of spatial and temporal scales as well as<br />

geometric and material complexities [1, 2]. In this approach,<br />

it is the physics that dictate the grid’s size and concentration,<br />

and as a result, significant advantages can be achieved over<br />

existing methods, including independence from user<br />

expertise in meshing, the ability to handle disparate materials<br />

and geometric features, the elimination of the need to<br />

perform time prohibitive convergence studies as well as<br />

lower CPU speed and memory required.<br />

In this paper, we begin by comparing the ultra-fast, selfadaptive<br />

computational method against a commercially<br />

available computational tool (ANSYS Fluent). A simple<br />

heat transfer problem was chosen and computed with both<br />

solvers so that the temperature results may be easily<br />

compared side by side. We chose a simple representative<br />

problem for the purposes of highlighting the accuracy and<br />

speed of our method.<br />

However, we next tackle a more difficult aspect of<br />

modeling a thermal problem, which is in determining the<br />

actual power map distribution in a device, since that<br />

distribution is not a property of the device, but is rather a<br />

result of the electrical flow fields that develop during<br />

operation, and is even subject to change with the normal or<br />

aging processes of a device. Therefore, we present an<br />

approach for determining the actual power distribution<br />

within the device by measuring experimentally its thermal<br />

map with a thermal reflectance thermography system [3].<br />

The experimentally determined thermal power distribution is<br />

then used to simulate the behavior of the actual rather than<br />

the theoretical device. The benefit of combining the<br />

numerical and experimental approaches [4, 5] is that the<br />

resulting transient three-dimensional thermal field reflects<br />

the true behavior of the device.<br />

B. Validation of the Numerical Method: Comparison to<br />

ANSYS Fluent Results<br />

The temperature results of the numerical solver used in the<br />

present work are compared with the results of the<br />

commercially available ANSYS Fluent solver. A simplified<br />

version of the pyramid problem solved by Shakouri et al. [6]<br />

and Raad et al. [7] was chosen. The problem considered here<br />

consists of cooling a Silicon block with a single embedded<br />

heat source that sits on top of a Copper heat sink. The<br />

geometry of the structure is as follows: the Copper block is<br />

2.8 by 2.8 mm wide and 1.8mm tall and centered below a<br />

Silicon block 1 mm by 1mm wide and 0.5 mm tall.<br />

The bottom of the Copper block is considered to be the<br />

heat sink and thus isothermal conditions are specified for it,<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 36<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 1 SMU solver temperature results: a temperature contour slice<br />

through the middle of the heat source is shown<br />

while the heat transfer to the air is neglected and thus the rest<br />

of the exterior walls were considered adiabatic. A 2.5W heat<br />

source was placed in the center of the Silicon block. The<br />

dimensions of the heat source are 10 x 5 x 50 µm. The<br />

importance of the chosen geometry is that the solver has to<br />

deal with over four orders of magnitude changes in scales.<br />

The results of the steady-state simulation obtained using<br />

the self-adaptive ultra-fast (SAUF) solver<br />

are shown in Fig.<br />

1 while the results obtained from the Fluent package are<br />

shown in Fig. 2. The results are presented as temperature<br />

contours on a vertical plane (YZ plane) that cuts through the<br />

entire domain and passes through the middle of the heat<br />

source. The temperature contours on the external surfaces of<br />

the heat source are also shown in Figs. 1 and 2. Given a<br />

prescribed accuracy level of 1%, the SAUF solver took 6<br />

seconds to complete the solution whereas the ANSYS solver<br />

took 370 seconds and an order of magnitude more memory<br />

usage.<br />

Fig. 2 ANSYS Fluent solver<br />

temperature results: a temperature<br />

contour slice through the middle of the heat source is shown<br />

C. 3D Thermal Characterization: Combining the<br />

Experimental and Numerical Results<br />

The problem considered here is structurally and materially<br />

complex, and is made of 12-finger MOSFETs with a channel<br />

length of 100 µm and width of 1 µm. The widths of active<br />

regions in state-of-the-art devices are significantly smaller<br />

than those of the present device. The advantage in using this<br />

device, however, is that the numerically simulated behavior<br />

could be compared with measured data. But even more<br />

interestingly, this device provides an opportunity to<br />

demonstrate how input problem parameters can be adjusted<br />

to more closely reflect the actual operation of a device.<br />

The main features of the transistors can be seen in the top<br />

view picture of Fig. 3. The main grey stem with 12 branches<br />

running down the center of<br />

the device is the poly-silicon<br />

gate, while the brightest color is the Aluminum (Al) surface<br />

Fig. 3 Top view of 12-finger MOSFET<br />

(100×1 µm)<br />

Fig. 4 Horizontal and vertical planes showing numerically-simulated<br />

3D temperature fields, assuming total power is equally divided<br />

among the<br />

12 fingers<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 37<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

self-adaptive method provided a steady-state solution of the<br />

three-dimensional device within 13 CPU seconds, fully<br />

converged within the prescribed accuracy level of 1%.<br />

Contour plots of the thermal behavior are presented in Fig. 4<br />

on three representative planes: the horizontal plane sits on<br />

top of the poly-silicon, while<br />

the two vertical planes bisect<br />

each of the two banks of six transistors.<br />

The non-symmetry in the construction of the device,<br />

apparent in Fig. 3, can be determined and was thus<br />

accounted for in the input to the numerical simulation.<br />

Variations in material properties can also be measured in situ<br />

for a given design of a device [8]. More difficult to<br />

determine independently is the actual power distribution<br />

within a device since that distribution is not a property of the<br />

device, but is rather a result of the electrical flow fields that<br />

develop during operation, and<br />

is even subject to change with<br />

either the normal or unexpected aging processes of a device.<br />

Indeed, the surface temperature distribution for the present<br />

device, measured experimentally with a thermal reflectance<br />

thermography system (T°Imager [9]) indicates that the<br />

power generation is not the same for all 12 channels (Fig. 5).<br />

Fig. 5 Thermal image of 12 hot channel regions obtained<br />

For the purposes of this investigation, only the gate regions<br />

with T°Imager indicates that power distribution is nonuniform<br />

were mapped; the (blue) areas outside of the channel regions<br />

of interest were not calibrated or used. Given the measured<br />

metallization used to activate the common sources and temperature field, an averagee temperature was obtained for<br />

drains through the circular vias; the latter are more visible on each channel, reflecting a maximum variation of 20% from<br />

the top fingers than on the lower ones. The devices are the coolest to the hottest. Since to a first approximation the<br />

fabricated on a silicon wafer with 300 Å of silicon-<br />

oxide (SiO2), experimentally determined average temperatures make it<br />

power is proportional to the average temperature, the<br />

germanium (SiGe), then 100 Å of gate silicon<br />

then 500 Å of poly-silicon, and finally 1500 Å of field oxide. possible to verify the actual power levels dissipated by each<br />

Hence, the first visible interface under the<br />

transparent oxide of the 12 fingers. Therefore, the power levels of the sources<br />

layer in the channel region is the poly-siliconknowledge of the construction of the device and its material simulation was repeated.<br />

With the in the simulation were adjusted accordingly and the<br />

properties the self-adaptive approach was used to simulate The results of the simulation with the adjusted power<br />

the thermal behavior of the device. The total power was distribution are displayed in Fig. 6. The differences in the<br />

determined from a direct measurement of an activated<br />

device and then divided equally among the 12 gates. The<br />

Fig. 6 Temperature contours showing the effect of adjusting<br />

the individual power of the 12 fingers according to<br />

the observed temperature measurements<br />

Fig. 7 Effect of adjusting<br />

power map of the transistors<br />

according to the measured temperature field<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 38<br />

ISBN: 978-2-35500-010-2


temperature field from channel to channel are visible in Fig.<br />

6 as well as in comparison with the results of Fig. 4.<br />

For a closer inspection of the effects of altering the power<br />

distribution, the temperature profiles along cuts B-B in Fig. 4<br />

and C-C in Fig. 6 are compared in the upper part of Fig. 7.<br />

The percent difference plotted in the lower half of the figure<br />

shows that two channels experience a net increase in<br />

temperature while another two experience a net decrease. At<br />

first, one might expect that the magnitude of the change in<br />

the temperature should correspond to that of the power, but<br />

heat dissipation is three-dimensional and as the temperature<br />

rises in one channel, the increased local heat flux tends to<br />

raise the temperature of the adjacent channels. The threedimensional<br />

nature of the heat diffusion is evident, for<br />

example, by the widening of the temperature curves of the<br />

second and third channel.<br />

C. Conclusions<br />

Experimental methods are important and necessary means<br />

for obtaining temperature fields. Computational methods are<br />

also important and can play a key role in thermal<br />

characterization, particularly in prototyping and design. As<br />

demonstrated in this work, novel simulation methods can<br />

provide temperature information in three spatial dimensions<br />

and in critical regions that are inaccessible to measurement<br />

tools. By combining the complementary tools of simulation<br />

and experimentation, one can leverage their respective<br />

strengths and create a coupled approach that is vastly more<br />

powerful than either tool alone.<br />

7-9 October 2009, Leuven, Belgium<br />

REFERENCES<br />

[1] P. E. Raad, J. S. Wilson, and D. C. Price, “System and Method for<br />

Predicting the Behavior of a Component,” U.S. Patent No.<br />

6,064,810 (2000), Korean Patent No. 0501053 (2005), Japanese<br />

Patent No. 3,841,833 (2006).<br />

[2] J. S. Wilson and P. E. Raad, “A Transient Self-Adaptive Technique<br />

for Modeling Thermal Problems with Large Variations in Physical<br />

Scales,” International Journal of Heat and Mass Transfer, Vol. 47,<br />

pp. 3707-3720, 2004.<br />

[3] P. L. Komarov, M. G. Burzo, and P. E. Raad, “A Thermoreflectance<br />

Thermography System for Measuring the Transient Surface<br />

Temperature Field of Activated Electronic Device,” Proceedings to<br />

the 22nd Semiconductor Thermal Measurement, Modeling, and<br />

Management Symposium (SEMITHERM), Dallas, Texas, March 14-<br />

16, 2006.<br />

[4] P. E. Raad, P. L. Komarov, and M. G. Burzo, “Non-Contact Surface<br />

Temperature Measurements Coupled with Ultrafast Real-Time<br />

Computation,” Proc. Proceedings to the 23rd Semiconductor<br />

Thermal Measurement, Modeling, and Management Symposium<br />

(SEMITHERM), San Jose, CA (2007) pp. 57-63.<br />

[5] P. E. Raad, P. L. Komarov, and M. G. Burzo, “Thermal<br />

Characterization of Embedded Electronic Features by an Integrated<br />

System of CCD Thermography and Self-Adaptive Numerical<br />

Modeling,” Microelectronics Journal, v. 39, pp. 1008-1015, 2008.<br />

[6] J.-H. Park, X. Wang, A. Shakouri, and S.-M. Kang, “Fast<br />

Computation of Temperature Profiles of VLSI ICs with High<br />

Spatial Resolution,” Proceedings to the 24th Semiconductor<br />

Thermal Measurement, Modeling, and Management Symposium<br />

(SEMITHERM), San Jose, CA, pp. 50-54, 2008<br />

[7] P. E. Raad, P. L. Komarov, and M. G. Burzo, “Numerical<br />

Simulation of Complex Submicron Devices,” Electronics Cooling,<br />

Vol. 15, pp. 18-22, May 2009.<br />

[8] M.G. Burzo, P. L. Komarov, and P. E. Raad, “Thermal Transport<br />

Properties of Gold-Covered Thin-Film Silicon Dioxide,” IEEE<br />

Transactions on Components and Packaging Technologies, Vol. 26,<br />

pp. 80-88, 2003.<br />

[9] P. E. Raad, “Thermography Measurement System for Conducting<br />

Thermal Characterization of Integrated Circuits,” U.S. Patent No.<br />

7,444,260 (2008)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 39<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Design Modeling and Simulation of Electrothermally<br />

Actuated Microgyroscope Fabricated using the<br />

MetalMUMPs.<br />

Rana I. Shakoor *† , Shafaat A. Bazaz, ** and M. M. Hasan *<br />

*<br />

Pakistan Institute of Engineering and Applied Sciences, Islamabad, Pakistan.<br />

**<br />

Institute of Engineering and Applied Sciences, Topi, Swabi, NWFP, Pakistan.<br />

† Corresponding author, iqtidar@pieas.edu.pk, Tel: +92 333 5193862<br />

Abstract— This paper presents a thermally actuated<br />

resonant microgyroscope fabricated using commercially<br />

available standard MEMS process MetalMUMPs. Chevronshaped<br />

thermal actuator is being used to drive the proof<br />

mass whereas sensing mechanism of the proposed device is<br />

based on the parallel plate sensing electrodes. The proposed<br />

model consists of three proof masses coupled with each<br />

other to be driven in through a frame. To achieve larger<br />

bandwidth and increased sensitivity, the proposed model of<br />

microgyroscope is operated with a slight mismatch in the<br />

resonant frequency. The resonant frequencies of<br />

microgyroscope are predicted to be 5.37 kHz for drive mode<br />

and 5.02 kHz for sensing mode. Finite element simulations<br />

are carried out to predict the performance of the proposed<br />

device using the thermo-physical properties of electroplated<br />

nickel. A brief theoretical description, dynamics and<br />

mechanical design considerations of the proposed<br />

gyroscopes model are also discussed. Prototype fabrication<br />

using MetalMUMPs has also been investigated in this study.<br />

Static simulation predicted a high drive displacement of 4.88<br />

µm at 0.1V dc whereas dynamic transient simulations<br />

predicted a displacement of 0.28 µm when a sinusoidal<br />

voltage of 0.1V is applied. The proposed device has a size of<br />

1.8 x 2.0 mm 2 with an estimated power consumption of 0.26<br />

Watts.<br />

Keywords: Finite element method, Micromachined Gyroscope,<br />

MEMS, thermal V shaped actuator, Chevron shaped actuator<br />

I. INTRODUCTION<br />

Small size, high force, large displacement and low<br />

voltage consumption are the primary concerns for the<br />

development of MEMS based gyroscopes. Electrostatic,<br />

piezoelectric and electromagnetic are the common driving<br />

mechanisms used for the actuation of gyroscope proof<br />

mass. Most popular among them is the electrostatic<br />

actuation using comb drive actuators [1-2]. But these<br />

electrostatic actuators have typically small deflections thus<br />

require either close fabrication tolerances or high voltages<br />

to achieve large deflections.<br />

During last couple of years extensive research has been<br />

carried out on actuators using thermal expansion effects<br />

[3-4] activated by Joule heating. These thermal actuators<br />

can provide a large force and actuation both in parallel and<br />

perpendicular to the substrate and maybe fabricated using<br />

surface-micromachining technology that is compatible<br />

with IC technology. Two types of thermal actuators are<br />

very common: hot/cold arm thermal actuators and ‘V’ or<br />

‘Chevron’ shaped actuators.<br />

In this paper we presented a novel Nickel based<br />

resonant micromachined vibratory gyroscope which<br />

utilizes Chevron shaped thermal actuator for driving the<br />

vibrating proof mass of the gyroscope in the primary drive<br />

mode. The main motivation to use a Chevron shaped<br />

thermal actuator instead of a conventional electrostatic<br />

actuator is its distinctiveness in terms of high force<br />

generation combined with the large displacements at a low<br />

excitation voltage [4]. Furthermore, such actuators may<br />

enable higher quality factor compared to the comb drive<br />

actuators, as it reduce the damping significantly and<br />

enhancing the use of such chevron based gyroscopes at<br />

atmospheric pressure. The electroplated Nickel was used<br />

as the structural layer for this Chevron based<br />

microgyroscope as the metals are much better for such<br />

heat actuators as they provide a relatively large deflection<br />

and force for low operating temperatures and power<br />

consumption. The lateral deflection of the heat actuators<br />

made from Ni metal is about ~ 60% larger than that of the<br />

Si based actuators under the same power consumption [5].<br />

The simulated results presented in this study predict that<br />

Chevron shaped actuators made from metal may have<br />

very promising characteristics for the drive mode<br />

actuation of microgyroscopes.<br />

After introduction, this paper will cover the brief theory<br />

of operation of the thermally actuated chevron based<br />

microgyroscope. Section II describes the mechanical<br />

structure design of the device including its suspension<br />

design implementation. Section III comprehends a<br />

detailed implementation of low cost commercially<br />

available MetalMUMPs process for the fabrication of<br />

device along with prototype modeling of the device. In<br />

section IV, an FEM based systematic sequential<br />

thermoelectromechanical analyses methodology for the<br />

proposed gyroscope using the MEMS design software<br />

IntelliSuite is described. This section also presents the<br />

simulation results for modal, static and dynamic transient<br />

analyses of proposed microgyroscope.<br />

II. MICROGYROSCOPE STRUCTURE<br />

Fig. 1, shows a simplified three dimensional model of<br />

the proposed microgyroscope. The proposed model<br />

consists of three proof masses m 1, m 2 and m 3 which are<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 40<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

coupled with each other using a frame to be driven in The suspension connecting proof masses m 1 and m 3 with<br />

resonance electrothermally using a chevron-shaped the substrate via anchor is consisted of four double folded<br />

thermal actuator along the x-axis. When<br />

the gyroscope is<br />

subjected to an angular rotation along the z-axis, Coriolis<br />

force is induced in the sense direction along y-axis.<br />

The general expression for the rotation induced Coriolis<br />

flexures. These folded flexures<br />

can be modeled as fixed-<br />

to the axis of the<br />

guided beams, deformed orthogonally<br />

beam. The general expression<br />

of the stiffness for such<br />

flexure is:<br />

force is 2Ω where is<br />

the translational<br />

velocity of the proof is mass in the rotating system and Ω<br />

N ⎛<br />

3<br />

12EI<br />

⎞ 2Etw<br />

is the angular velocity vector. If both the drive and sense<br />

k x =<br />

=<br />

n ⎜<br />

3<br />

mode have the same resonant frequencies, the Coriolis<br />

L<br />

⎟ 3<br />

(1)<br />

⎝ x ⎠ L x<br />

force excites the system into resonancee causing the sense<br />

electrodes along the sense y- direction<br />

[6]. This motion<br />

Where E is the Young’s Modulus, I=tw 3 /12 is the<br />

creates a capacitance change due to change in the<br />

second moment of inertia of the rectangular beam cross<br />

electrode gap. This capacitance change is then detected by<br />

section, t, L and w is the beam thickness, length and width<br />

the CMOS capacitive interface circuit output of which is<br />

respectively, N is the total number of the flexure<br />

then conditioned using external electronics providing an<br />

supporting the mass and n is<br />

the number of folds per<br />

electrical output proportional to the applied angular rate<br />

flexure.<br />

input [7].<br />

Chevron actuator can be modeled as fixed-fixed beam if<br />

we neglect the small angle change. For M number of<br />

A. Suspension Design<br />

beams of length of L c each, the stiffness of the Chevron<br />

The complete suspension configuration of the system is shaped actuator can be calculated as [8]:<br />

shown in Fig.2. Suspension flexures of the proposed<br />

microgyroscope is designed in way that the all proof<br />

⎡<br />

3<br />

192EII<br />

⎤ ⎡16Etw<br />

⎤<br />

masses, m 1 , m 2 and m 3 moves together<br />

under the driving<br />

k<br />

chev<br />

= M ⎢ 3 ⎥ = M ⎢ 3 ⎥<br />

force defining 1-DoF drive mode. The center mass m 2 is<br />

⎢⎣ L c ⎥⎦ ⎢⎣ L c ⎥⎦<br />

free to oscillate in the orthogonal sense direction under the<br />

(2)<br />

influence of rotation induced Coriolis force.<br />

Where M is the The overalll stiffness of the system in<br />

drive x-direction can be calculated by adding the<br />

expression given in (1) and (2).<br />

The suspension system of mass m 2 comprised of four<br />

triple-folded flexure and stiffness in the sense y-direction<br />

for the mass m 2 can also be<br />

calculated by using the<br />

expression given in (3).<br />

k<br />

y<br />

=<br />

N<br />

n<br />

⎛<br />

⎜<br />

⎝<br />

3<br />

12<br />

EI 2Etw<br />

=<br />

3 3<br />

L ⎟ L<br />

y<br />

⎞<br />

⎠<br />

y<br />

(3)<br />

Fig. 1. The layout of the electrothermally actuated microgyroscope<br />

Fig. 2. The suspension system configuration<br />

III. PROTOTYPE<br />

FABRICATION<br />

A prototype gyroscope is designed to be fabricated in<br />

the Metal-Multi User MEMS Processes (MetalMUMPs)<br />

[9] for the design concept verification. MetalMUMPs is a<br />

low cost, commercially available, general purpose<br />

electroplated nickel micromachining process for MEMS<br />

devices. MetalMUMPs consists of a 20μm thick<br />

electroplated nickel layer used<br />

as the primary structural<br />

material and electrical interconnect layer. A trench layer<br />

in the silicon substrate can also be incorporated for<br />

additional thermal and electrical isolation. Process<br />

simulation of the prototype carried out in MEMSPro and<br />

the device is shown in Fig. 3. Cross sectional views are<br />

given in Fig. 4 (a) and (b) to illustrate different layer used<br />

during prototype fabrication.<br />

The process steps involved<br />

in the fabrication of the<br />

proposed microgyroscope using<br />

MetalMUMPs are shown<br />

in Fig. 5. The overall size of the device is 2.2mm ×<br />

2.6mm. The movable parts of the MVG like Chevron<br />

shaped actuator, proof masses and folded flexure are<br />

defined using the 20µm thick nickel layer. A 25µm deep<br />

trench is defined underneath the movable parts of the<br />

MVG to provide electrical and<br />

thermal isolation from the<br />

silicon substrate. The anchors and fixed parts are formed<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 41<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

by the isolation oxide, nitride layers, anchor metal and<br />

IV. FEM METHODOLOGY & RESULTS<br />

nickel layers.<br />

To verify design concept and<br />

device parameters, device<br />

level FEM simulation methodology for the proposed<br />

microgyroscope is devised in this section.<br />

Thermoelectromechanical (TEM) analysis module of the<br />

MEMS Design software IntelliSuite has been used for this<br />

purpose.<br />

3D Builder is used to build and mesh the three-<br />

dimensional geometry of the<br />

MEMS structure before<br />

transferring to TEM module to assign material properties,<br />

loads and boundaries to fully<br />

analyze a device in the<br />

static, frequency and dynamic domain. Simpler and faster<br />

simulations like modal and static analyses were performed<br />

prior to lengthy dynamic simulations to understand the<br />

initial behavior of the device.<br />

A. Modal Analysis<br />

Fig. 3. Microgyroscope fabricated through MetalMUMPs process First of all modal analysis was carried out to predict the<br />

using L-Edit of MEMSPro.<br />

natural frequencies and their respective mode shape for<br />

the proposed microgyroscope. While calculating natural<br />

frequencies and associated mode shapes, a residual stress<br />

of 100 MPa has been incorporated with other<br />

thermophysical properties of nickel (Ni) for accurate<br />

results [9].<br />

Fig. 6, (a) and (b) show the respective drive and sense<br />

mode shape at 5.73 kHz and 5.02 kHz. A slight difference<br />

in the natural frequency of both drive and sense mode is<br />

intentionally made to achieve a larger bandwidth,<br />

compromising the drive displacement at resonance.<br />

(a)<br />

(b)<br />

Fig. 4. Cross sectional views of a microgyroscope (a) 3D view and<br />

(b) 2D view<br />

(a)<br />

Fig. 5. Process flow for the fabrication of microgyroscope using<br />

MetalMUMPs in MEMSPro (a) N-type silicon wafer (b) 2µm<br />

thick isolation oxide layer (c) patterning of Oxide<br />

1 layers (d) patterning<br />

of 0.7µm thick Polysilicon layer (e) patterning of<br />

anchor metal layer (f)<br />

patterning of 20µm electroplated structural layer of Ni and trench etch in<br />

the substrate.<br />

(b)<br />

Fig. 6. Modal analysis results (a) Drive mode at 5.37 kHz and (b)<br />

Sense mode at 5.02 kHz.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 42<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

B. Static Analysis<br />

To analyze the initial response of the proposed device,<br />

static analysis is performed. This analysis calculates the<br />

results for the stress distribution, displacement distribution<br />

and mechanical deformation of the structure. In case of<br />

the thermally actuated microdevices like the proposed<br />

one, static analysis generally comprised of thermalelectrical<br />

and thermal-stress analyses. Thermal-electrical<br />

analysis solves coupled thermal electrical equations<br />

whereas thermal-stress analysis calculates mechanical<br />

stresses in the device due to the thermal loads calculated<br />

during the thermal-electrical analysis [10].<br />

Predicted displacement vs. voltage and temperature vs.<br />

voltage plots are presented in Fig. 7. Static analysis is<br />

carried out over a range of applied static voltages from<br />

0.10-0.13V with an increment of 0.05V. The results Fig. 9. Temperature profile predicted by the Static analysis at 0.1V dc.<br />

showed that achieved displacements and temperatures are<br />

the function of the V 2 at constant resistivity. A drive<br />

C. Dynamic Analysis<br />

displacement of 109 µm/V along with a temperature rise FEA based dynamic simulation results are shown in<br />

of 640 o C/V predicts that voltage-stroke ratio of the Fig. 10. The thermal actuator was driven by a sinusoidal<br />

proposed device is very high in comparison with voltage of 0.1V at 2.51 kHz, half of the operating<br />

electrostatic comb drives. Displacement and temperature frequency as one sinusoidal cycle results in two complete<br />

profile of the device at 0.10V DC is shown in Fig. 8 and 9. cycles of the thermal actuator [4]. The predicted drive<br />

A predicted displacement of 4.88 µm with a temperature displacement achieved by the proof mass is 0.28µm<br />

of 52 o /C is achieved by the device at this voltage.<br />

(shown blue in Fig. 10(a)). The temperature profile<br />

developed across the device when subjected to the same<br />

voltage excitation signal is shown in Fig. 10 (b).<br />

Fig. 7. Predicted drive direction displacement and maximum temperature<br />

at different applied static voltages.<br />

(a)<br />

Fig. 8. Displacement profile predicted by the Static analysis at 0.1V dc.<br />

(b)<br />

Fig. 10. (a) Displacement and (b) temperature profile predicted when the<br />

Dynamic analysis is carried at 0.1V ac applied at 2.51kHz.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 43<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

CONCLUSION<br />

A novel design concept of a thermally actuated Ni<br />

based resonant micromachined gyroscope utilizing<br />

Chevron shaped thermal actuator to drive proof mass is<br />

presented in this paper. The simulation results have<br />

successfully demonstrated that Chevron shaped thermal<br />

actuator has a strong potential to replace the traditional<br />

interdigitated comb drive electrostatic actuator. Exploiting<br />

the thermophysical properties of electroplated Ni, high<br />

drive direction amplitudes of 4.88µm and 0.28m at low<br />

actuation static and periodic voltage of 0.1V with an<br />

estimated power consumption of 0.26 watts and reduced<br />

device size of 1.8 x 2.0 mm 2 . This paper further<br />

demonstrated that low cost commercially available<br />

MetalMUMPs can be a cost effective fabrication option<br />

for future microgyroscopes. These actuators have low<br />

damping compared to electrostatic comb drive actuators<br />

which may result in high quality factor microgyroscopes<br />

operating at atmospheric pressure.<br />

ACKNOWLEDGMENT<br />

This research is mainly supported by Higher Education<br />

commission of Pakistan (HEC) through 5000 Indigenous<br />

fellowship program. Authors are thankful to National ICT<br />

R&D Fund, Ministry of Information technology, Pakistan<br />

for their financial assistance to promote MEMS activities<br />

in Pakistan.<br />

REFERENCES<br />

[1] Said E. Alper and Tayfun Akin, “A Single-Crystal Silicon<br />

Symmetrical and Decoupled MEMS Gyroscope on an Insulating<br />

Substrate” J. Microelectromechanical Systems, 14(4), 2005, pp<br />

707-717.<br />

[2] C. Acar, Andrei M. Shkel, “Non resonant Micromachined<br />

Gyroscopes with Structural Mode-Decoupling” IEEE Sensor<br />

Journal, 3(4), 2003, pp 497-506.<br />

[3] R. Hickey, D. Sameoto, T. Hubbard and M. Kujath, “Time and<br />

frequency response of two-arm micromachined thermal actuator”<br />

Journal of Micromechanics and Microengineering, 13, 2003, pp<br />

40-46.<br />

[4] Y. Lai, J. McDonald, M. Kujath and T. Hubbard “Force,<br />

deflection and power measurement of toggled microthermal<br />

actuators” Journal of Micromechanics and Microengineering, vol.<br />

14, pp 49-56. (2004)<br />

[5] J. Luo, J. He, , A. Flewitt, D. F. Moore, S.M. Spearing, N. A.<br />

Fleck, W. I. Milne, “Development of all metal electrothermal<br />

actuator and its application” J. Microlith.,Microfab., Microsyst.,<br />

2005, 4(2), , pp 023012-1-10<br />

[6] Rana I. Shakoor, Shafaat A. Bazaz, M. Kraft, Y. Lai, M.M. Hasan<br />

“Thermal actuation based 3-DoF Non-resonant Microgyroscope<br />

using MetalMUMPs.” Sensors, 2009, pp. 2389-2814.<br />

[7] Said E. Alper, Kanber M. Silay, Tayfun Akin “A low-cost rategrate<br />

Nickel gyroscope” Sensors & Actuators A, 132(2006), pp.<br />

171-181.<br />

[8] Young, W. C. Roark’s Formulas for stress and strain. Mc-Graw-<br />

Hill, New York, USA, 1989, pp 93-156.<br />

[9] Cowen, A., Dudley, B., Hill, E., Walters, M., Wood, R., Johnson,<br />

S., Wynands, H. and Hardy, B. MetalMUMPs Design Hand book<br />

(MEMSCap Inc. USA.)<br />

[10] IntelliSuite Technical Reference Manual 8.2, 2007, IntelliSense<br />

Inc. USA.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 44<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fine Grain Thermal Modeling of 3D Stacked<br />

Structures<br />

H. Oprins 1 , M. Cupak 1 , G. Van der Plas 1 , P. Marchal 1 , B. Vandevelde 1 , A. Srinivasan 2 , E. Cheng 2<br />

1<br />

IMEC, Kapeldreef 75, B-3001 Leuven, Belgium<br />

2 Gradient Design Automation Inc., 4633 Old Ironsides Drive, Santa Clara, CA 95054, USA<br />

Abstract- 3D stacking of dies is a promising technique to<br />

allow miniaturization and performance enhancement of<br />

electronic systems. Key technologies for realizing 3D<br />

interconnect schemes are the realization of vertical connections,<br />

either through the Si-die or through the multilayer<br />

interconnections. The complexity of these structures combined<br />

with reduced thermal spreading in the thinned dies complicate<br />

the thermal analysis of a stacked die structure. In this paper a<br />

methodology is presented to perform a detailed thermal<br />

analysis of stacked die packages including the complete back<br />

end of line structure (BEOL), interconnection between the dies<br />

and the complete electrical design layout of all the stacked dies.<br />

The calculations are performed by 3D numerical techniques<br />

and the approach allows importing the full electrical design of<br />

all the dies in the stack. The methodology is demonstrated on a<br />

2 stacked die structure in a BGA package. For this case the<br />

influence of through-Si vias (TSVs) on the temperature<br />

distribution is studied.<br />

Keywords –thermal modeling, design layout, thermal aware<br />

design, 3D stacked ICs.<br />

I. INTRODUCTION<br />

General trends in microelectronics show increase in<br />

functionality and power dissipation combined with an<br />

ongoing miniaturization [1]. These trends lead to increasing<br />

power densities in the dies. As a result these elevate power<br />

densities might give rise to excessive operating temperatures<br />

which influence the electrical performance and reliability of<br />

the device. 3D stacking of dies is an enabler for further<br />

miniaturization and increase of functionality [2]. Here the<br />

individual dies are thinned down aggressively – down to<br />

about 20 μm – and are glued on top of each other. In the case<br />

of such 3D stacks even more thermal issues appear [3]. The<br />

glue used to bond the dies together typically is poorly<br />

conductive. Furthermore the thinned dies will only allow a<br />

reduced thermal spreading effect compared to full thickness<br />

dies. Therefore the same dissipation will lead to higher<br />

temperatures in a stacked die package compared to a single<br />

die package. Other aspects to be considered are multiple<br />

localized areas of heat dissipation in the different dies, the<br />

complex interconnection schemes between and/or through<br />

the dies which allow multiple paths for the heat flow and the<br />

complicated back end of line (BEOL) structure metal and<br />

oxides. In the case of a full thickness die the thermal<br />

influence of the BEOL is limited on temperature distribution<br />

in the conductive silicon. However in the case of thinned<br />

dies the thickness of the BEOL can be of the same order as<br />

the thickness of the Si itself (up to 10 µm thickness for a 10<br />

metal layer BEOL structure), and should be included in the<br />

thermal analysis.<br />

Therefore there is a need to perform a detailed thermal<br />

analysis including the structure of the BEOL and the location<br />

of all the power sources in the layout. Furthermore the<br />

thermal analysis should be included in the design loop to<br />

assess the thermal consequences of design iterations and<br />

verify the final design before sign-off. Turowski et al. [5]<br />

presented a multiscale analysis for a single die. [3], [6–8]<br />

present a compact model approach using transfer functions<br />

for a thermal analysis on a higher level of abstraction for a<br />

stacked die structure. In this paper a methodology is<br />

presented for a full detail analysis based for stacked dies on<br />

the design layout of all the stacked dies. In this approach<br />

Cadence Virtuoso TM is used for the layout design and the<br />

thermal simulator FireBolt TM [4] for the thermal analysis. In<br />

section II the general approach is explained. In section III<br />

this approach is demonstrated on a specific test case of a<br />

structure of 2 stacked dies packaged in a BGA.<br />

In section 2 the general approach to perform the detailed<br />

simulations is explained. This approach is applied on a<br />

typical case of a two die stack with TSVs and integrated<br />

heaters and sensors. The results of these simulations and the<br />

validation aspects are discussed in section 4.<br />

Simulation setup<br />

Selection of simulation domain for thermal analysis<br />

Deriving package model from global modeling or<br />

from available package information<br />

Build up layer stack in the simulation domain<br />

Import design layout and power maps<br />

Detailed thermal simulation in simulation domain<br />

Results and interpretation<br />

Fig. 1. Flow chart with the consequent step in the approach for detailed<br />

thermal modeling of a stacked die structure.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 45<br />

ISBN: 978-2-35500-010-2


OASIS files<br />

Final 2D layout<br />

design_top.oas.gz<br />

design_bot.oas.gz<br />

Adding Extra<br />

Layers<br />

Cadence Virtuoso<br />

design.tf<br />

design.drf<br />

Package<br />

Model<br />

Pkg.ini<br />

Oasis2GDSII<br />

Translation<br />

CalibreLITHOView<br />

Layout<br />

Modifications<br />

Cadence Virtuoso<br />

Heaters/Monitors<br />

Layout Data<br />

Extraction<br />

Cadence Virtuoso<br />

SKILL scripts<br />

AWK scripts<br />

Layers<br />

Specification<br />

design.layerprops<br />

layers_t.map<br />

layers_b.map<br />

GDSII files<br />

2D Layout<br />

design_top.gds.gz<br />

design_bot.gds.gz<br />

Heaters/Monitors<br />

Specification<br />

design.ptab<br />

Heaters Power<br />

Dissipation<br />

AWK scripts<br />

2D to 3D Layout<br />

Translation<br />

Cadence Virtuoso<br />

GDSII top<br />

level 3D Layout<br />

design.gds.gz<br />

Techonology<br />

Files<br />

design.lyrs<br />

local_lib.tcl<br />

gdatech.tcl<br />

Heaters/monitors<br />

Power Dissipation<br />

design.pval<br />

GDSII files<br />

3D Layout<br />

design_top.gds.gz<br />

design_bot.gds.gz<br />

GDA thermal simulation<br />

Computed<br />

Temperatures<br />

design.tval<br />

Fig. 2. Flow chart of the preparation procedure of the 3D layout design and<br />

material information to be used in the thermal simulation.<br />

II. METHODOLOGY<br />

Fig. 1 shows an overview of the different steps in the<br />

methodology to perform a detailed thermal analysis<br />

including the full chip design. In the first step the main<br />

region of interest in the structure is selected. There is large<br />

difference in length scale in the thermal phenomena in the<br />

structure; from the heat generation at transistor level<br />

(submicron level) to the board level and ambient (cm-level).<br />

Therefore a partitioning is being made between the main<br />

region of interest, in which the full 3D thermal analysis will<br />

be performed numerically and the outside area which will be<br />

transformed to thermal boundary conditions for simulation in<br />

the internal part [9]. In this case the internal region, to be<br />

simulated in detail is the die stack and the interface layers<br />

and interconnections in between them.<br />

The package (overmold, interposer,…), solder balls, PCB<br />

and ambient environment will be converted to a ‘package<br />

model’ in a second step and will be used as boundary<br />

conditions for the detailed simulation. This can be done<br />

using the thermal package properties in the data sheet or by<br />

extracting the information from a system (board) level<br />

simulation to estimate the heat flow through each of the<br />

sides. In this case steady state or transient boundary<br />

condition are extracted from the higher level simulation tool<br />

and represented as thermal RC networks to mimic the<br />

thermal behavior of the surrounding of the simulation<br />

domain. This RC representation is referred to as a ‘package<br />

model’. Depending on the structure of the package a more<br />

complex package model can be used to represent the external<br />

part of the structure to account for the local effect of<br />

wirebonds or solder balls.<br />

The third step in the approach shown in Fig. 1 is the<br />

representation of the materials used in the die stack. Here all<br />

material properties, their temperature dependencies and the<br />

thickness of the respective layer are specified for the<br />

materials present in design layout files. This description of<br />

the layer stack links the design layout to the actual 3D<br />

simulation model. Fig. 2 shows a schematic representation of<br />

the preparation and transformation of the separate individual<br />

7-9 October 2009, Leuven, Belgium<br />

GDSII design files of all the dies in the stack to the<br />

representation of the 3D stacked structure. The process<br />

consists of several steps. After optional format conversion<br />

which translates data to the Cadence Virtuoso layout design<br />

tool, the layout is converted from a 2D to a 3D<br />

representation. This step brings back the stack hierarchy<br />

flattened in the post-layout design phase and opens space for<br />

possible thermal layout modifications (i.e. adding extra<br />

layers representing overmold). Next the inputs for the<br />

thermal simulator are added. These include the top level 3D<br />

description of the layout, the exact locations of the thermal<br />

sources and the monitors location. The inputs are generated<br />

making use of automated Linux scripts. The rest of the input<br />

files which define the design layers, the material list, the<br />

thermal-layer list and the material-layer definition, are<br />

generated manually. This data preparation in a semi-manual<br />

way is a tedious and error-prone process. Ideally the design<br />

and data preparation should be done in a 3D environment<br />

and in a fully automated manner to allow and check<br />

consistency and alignment between the layouts in the<br />

different layers. At this moment the integrated 3D<br />

functionality is not yet available in the <strong>EDA</strong> tools .<br />

When all information is assembled correctly the<br />

simulation domain is discretized using the thermal<br />

simulation tool FireBolt [4]. FireBolt [4] solves the the heat<br />

diffusion equation [11] , which in steady state, in Cartesian<br />

co-ordinates is<br />

∇⋅[ k( r, T) ∇ T ( r)] + P V<br />

( r ) = 0<br />

(1)<br />

where r ≡ ( x, y, z)<br />

in (m), T is the temperature (K), k is the<br />

thermal conductivity (W/(mK)), and P V is the power density<br />

(W/m 3 ) . Boundary conditions (BCs) are expressed at the six<br />

die faces as described in “Package Model” Section III.B. P V<br />

is considered invariant with temperature, assuming power<br />

values may be iteratively updated in an electro-thermal loop<br />

(not done in this case). Sub continuum heat-transport is<br />

modeled where required. FireBolt uses fast meshless<br />

methods for initial discretization of the 3DIC, followed by<br />

refinement iterations in an adaptive, multi-level hierarchical<br />

modeler and solver. Simplification of the layout in a single<br />

die, or 3DIC, may result in significant errors in thermal<br />

simulation [12]. Even non-functional layout such as metal<br />

fill may significantly affect heat-transport in a chip. In<br />

FireBolt the 3DIC chip is modeled at the length-scales of its<br />

layout geometries, as needed to meet specified spatial and<br />

thermal error-tolerances.<br />

Fig. 3. Schematic of the test case of two stacked dies: a 25µm thin top die<br />

5x5mm² with TSVs on top of a thicker 250 µm thick die 8x8mm² in a BGA<br />

type package.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 46<br />

ISBN: 978-2-35500-010-2


III.<br />

CASE STUDY: 2 STACKED DIE STRUCTURE<br />

In this the section the general approach detailed thermal<br />

modeling presented in the previous section for is applied on<br />

specific 3D integrated structure. A schematic of the test case<br />

is shown in Fig.3. The test case consists of a two die stacked<br />

structure in a BGA package. The BGA package in soldered<br />

to a PBC and the whole structure considered to be in an<br />

ambient environment of still air at 300K. The top die is a 25<br />

µm thick die, 5x5 mm² in size. The active region of the top<br />

die is connected to the bottom die by means of Cu through-<br />

Si vias (TSVs) through the top die [13]. These vias have a<br />

diameter of 5µm. Several pitches of the TSVs are considered<br />

to study the effect of the TSV on the thermal behavior or the<br />

stack. The bottom die is an 8x8 mm² die with a thickness of<br />

250µm. The different steps of the procedure presented in<br />

Fig. 2 with now be dealt with in detail.<br />

A. Definition of simulation domain<br />

First the region of interest is selected in the structure. In<br />

this domain the detailed numerical simulation will be<br />

performed. In this test case the stack including the 2 Si dies,<br />

the adhesive layer in between the dies. Also all the<br />

interconnections between the two dies and the complete back<br />

end of line structure (BEOL) of both dies are included in the<br />

model. To simplify the geometry of the simulation domain<br />

part of the overmold compound surrounding the top die is<br />

included in the model.<br />

B. Package model<br />

The region outside the simulation domain is converted to<br />

thermal boundaries conditions applied on the simulation<br />

domain. Inside FireBolt, the boundary conditions are<br />

formulated for each face S i with uniform heat transfer<br />

coefficient h i and thermal capacitance c i parameters as<br />

shown in the following equation where φ is the flux density:<br />

dT ( ri<br />

)<br />

ϕ r = h ⋅ T r − T + c ⋅ with r ∈S<br />

(2)<br />

( ) ( ( ) )<br />

i i i A i i i<br />

dt<br />

Here the parameters of the package model are extracted<br />

from a full 3D finite element model of the package and PCB.<br />

For the finite element analysis the software tool Msc.Marc is<br />

used. From this thermal model the heat flow through each of<br />

the faces of the simulation domain can be obtained and<br />

converted to boundary conditions in the form of RC thermal<br />

networks, to be used in the detailed model.<br />

7-9 October 2009, Leuven, Belgium<br />

Bottom view<br />

Heat flux (W/mm 2 )<br />

Fig. 5. Distribution of the heat flux at one quarter of the bottom of the die<br />

stack extracted from the FE model of the package and PCB.<br />

The board level finite element of the structure can be seen<br />

in Fig. 4 (left). Fig. 4 (right) shows a schematic<br />

representation of RC networks as boundary conditions at the<br />

sides of the simulation domain. At the location of the<br />

wirebonds at the top the die stack and the solder balls at the<br />

bottom addition faces S i can be attributed with a local higher<br />

value of h i , since the wirebonds and solder balls act as local<br />

heat sinks. Fig. 5 show a bottom view of the distribution of<br />

the heat flow through the bottom of the considered<br />

simulation domain. Higher values of the heat flux at the<br />

bottom of the die stack can be observed at the locations<br />

corresponding with the placement of the solders balls.<br />

C. Material layer stack information<br />

In this step of the data preparation the information of the<br />

several materials and thickness of the respective material<br />

layers is included. Fig. 6 gives a schematic overview (not to<br />

scale) of the material layers and their respective thickness in<br />

the die stack. For both the top and the bottom die a BEOL<br />

structure with 2 metal layers is used.<br />

PASSIV_T<br />

METAL2_T<br />

METAL1_T<br />

CA_T<br />

BULK_T<br />

TSV<br />

bump<br />

metal<br />

metal<br />

via<br />

250nm<br />

poly 150nm<br />

500 nm SiN<br />

330nm Si0 2<br />

600nm Si0 2<br />

500nm Si0 2<br />

300nm Si0 2<br />

400nm Si0 2<br />

25 um Si<br />

50 nm SiC<br />

50 nm SiC<br />

50 nm SiC<br />

50 nm SiC<br />

50 nm SiN<br />

METAL2_B<br />

metal<br />

600nm Si0 2<br />

50 nm SiC<br />

via<br />

500nm Si0 2<br />

50 nm SiC<br />

METAL1_B<br />

metal<br />

300nm Si0 2<br />

50 nm SiC<br />

CA_B<br />

BULK_B<br />

250nm<br />

poly 150nm<br />

400nm Si0 2<br />

250um Si<br />

50 nm SiN<br />

Fig. 4. Full 3D FE model of the package and PCB (left). Representation of<br />

the boundary conditions applied on the simulation domain (right).<br />

Fig. 6. Schematic representation of the materials in the die stack (not to<br />

scale), including the BEOL structure of the top and bottom die.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 47<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Bottom die: 8x8 mm²<br />

Top die: 5x5 mm²<br />

TSVs top die<br />

Heaters<br />

100 x 100 µm²<br />

•7 x 7 TSVs<br />

• 11 x 11 TSVs<br />

• no TSVs<br />

Heaters<br />

50 x 50 µm²<br />

Fig. 7. Combined view of the layout of the top die on top of the bottom die<br />

including the heating structures and the TSVs through the top die.<br />

The metal layers of the top die are connected to the metal<br />

layers of the bottom by TSVs (through-Si vias) through the<br />

thinned top die [13]. At the backside of the top die, the TSVs<br />

are connected to the metal 2 layer of the bottom die by small<br />

CuSn bumps with a diameter of 20 µm and a height of 13<br />

µm.<br />

C. Design layout and power sources location<br />

The design layouts of both individual top dies are<br />

combined to a 3D design layout according to the procedure<br />

specified in Fig. 2. Fig. 7 shows the 3D design for this stack<br />

of a smaller die on top of a larger bottom die. In the figure<br />

the location of 6 heat sources in indicated. This heat sources<br />

are located in the ‘metal 2’ layer of the top die. In normal<br />

operation of logic dies the heat is generated in a region close<br />

to the top of the bulk of the Si. However in the thermal test<br />

chips available the metal meander resistors to dissipate the<br />

power are located in the ‘metal 2’ layer. To be able to<br />

validate the modeling experimentally the heat sources are<br />

therefore placed in the ‘metal 2’ layer in the model. In all<br />

heat sources a power of 10mW is dissipated. This is a typical<br />

value of the power dissipation in structures in low power<br />

devices. For the 3 heat sources at the left side the area of the<br />

heat source is 100x100 µm². At the right side of the top die,<br />

the same amount of heat is dissipated in areas of 50x50µm².<br />

Fig. 8. Surface plot of the temperature distribution (°C) in the metal 2 layer of<br />

the top die for a power of 10mW dissipated in the heat sources with an array of<br />

100x100µm² on the left side and 50x50µm² on the right side.<br />

To study the influence of the TSV density on the<br />

temperature distribution different array densities of TSVs are<br />

placed right below the location of the heaters. The different<br />

densities are an array of 7x7, 11x11 and no TSVs in the area<br />

of 50x50 and 100x100µm² respectively. The locations of<br />

these TSVs areas are indicated on Fig. 7.<br />

D. Thermal simulation<br />

The 3D steady state heat equation is solved numerically in<br />

the simulation domain using the information of the package<br />

and environment as boundary conditions as shown in Fig. 4.<br />

A grid resolution matching the feature size in the design<br />

layout is used for the discretization. For this specific design a<br />

spatial resolution of 100 nm is used. Table 1 summarizes the<br />

numerical details of the simulations. 10 mW is dissipated in<br />

each of the heat sources in the metal 2 layer of the top die.<br />

The total power dissipated in the structure is 6 x 10mW in<br />

the heat sources in the top die. The ambient temperature is<br />

considered to be 300K.<br />

Since the temperature dependence of the material<br />

properties is included several iterations are required to meet<br />

the convergence criterion of 0.05°C. For this low power case<br />

two iterations are sufficient to meet this criterion. Which<br />

amounts to a typical calculation time of 4h30min.<br />

TABLE I<br />

SIMULATION PERFORMANCE DETAILS<br />

Die size<br />

X<br />

8.34 mm<br />

Y<br />

8.34 mm<br />

Z 280.68 µm<br />

Power sources<br />

Power level<br />

60 mW<br />

Nr. of sources 2100<br />

Resolution<br />

Thermal 0.05 °C<br />

Spatial<br />

100 nm<br />

Calcualation<br />

Runtime<br />

~ 4h 30min<br />

Peak memory<br />

~ 5.8Gb<br />

Fig. 9. Surface plot of the heat flux at the top the bulk of the Si of the top die.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 48<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 10. Schematic representation of the experimental set up to validate the<br />

thermal modeling [14].<br />

IV. DISCUSSION OF SIMULATION RESULTS<br />

Fig. 8 shows the temperature distribution in the ‘metal 2’<br />

layer of the top die. This is the layer where the power is<br />

dissipated. A sharp temperature peak can be observed at the<br />

location of the hot spots. As expected the temperature peak<br />

is higher in the smaller hotspots due to the higher power<br />

density. In this case with the power dissipation in the metal<br />

layer of the BEOL structure a very sharp peak is observed<br />

due to the poorly conductive oxide layers surrounding it. For<br />

normal operation with heat dissipation in the bulk of the Si a<br />

less pronounced peak is expected. The influence of the TSV<br />

density can be seen on Fig. 8: in the case without TSVs the<br />

maximum temperature amounts to 8.08°C in the 50x50µm²<br />

hot spot. For the TSV density of 7x7µm² and 11x11µm² the<br />

temperature peak is 7.15°C and 5.51°C respectively. In the<br />

case of the 50x50µm² hotspots the effect of TSV density is<br />

less pronounced. Fig. 9 shows a surface plot of the heat flux<br />

in the bulk of the Si of the top die. At the top of the TSV an<br />

influx of heat can be seen. The heat is generated fairly<br />

uniform in the layers on top the Cu TSVs. The heat will flow<br />

down through the stack concentrated through the Cu TSVs.<br />

V. EXPERIMENTAL VALIDATION APPROACH<br />

To trust and improve the proposed approach of the<br />

detailed thermal modeling the simulations need to be<br />

validated by experimental results. To be able to evaluate the<br />

thermal modeling early in the development of the 3D<br />

integration including the TSVs a more simplified version of<br />

the packaged die stack is considered. Therefore a dedicated<br />

thermal test vehicle has been developed to experimentally<br />

characterize the thermal effects in the stacked die structure<br />

and to validate the modeling approach [14]. Fig. 10 shows a<br />

schematic of the simplified package on which transient<br />

thermal measurements are performed. The die stack is glued<br />

to a Cu interposer plate. This Cu plate is attached to a watercooled<br />

cooling block. On top of the outer edge of the Cu<br />

plate a PCB is connected. In this PCB an opening is<br />

provided to fit the die stack. Wirebonds from both the top<br />

and bottom die to bonding pads on the PCB provide the<br />

electrical connections to access the heaters and diodes in the<br />

die stack. The power is dissipated in heaters located in the<br />

BEOL structure of the top die. Temperature is measured<br />

using temperature sensitive diodes which are located in both<br />

top and bottom die. Assembly and testing of experimental<br />

set-up is ongoing at the time of publication.<br />

TIM 1<br />

glue<br />

Cu plate<br />

TIM 2<br />

Water cooled heat sink<br />

PCB<br />

VI. CONCLUSIONS<br />

In this paper a methodology to perform a detailed, fine<br />

grain thermal analysis of the stacked die structure is<br />

presented. This methodology allows to include the complete<br />

detail of the design layout and the full description of the<br />

BEOL structure of all the dies in the stack. The approach is<br />

demonstrated on a test case of a stacked die structure of two<br />

dies BGA package. Both the complete design layout of the<br />

top and bottom die, including the interconnections, such as<br />

TSVs and solder balls, between both dies are combined to a<br />

3D design. A detailed thermal simulation with a spatial<br />

resolution of 100 nm is performed based on the 3D layout<br />

specified in the GDSII file and the definition of the stack of<br />

materials. Using this approach the thermal influence of the<br />

proximity and array density of the below the heat sources<br />

TSVs in the top die is studied.<br />

REFERENCES<br />

[1] The International technology Roadmap for semiconductors (ITRS),<br />

2008 edition. URL:<br />

http://www.itrs.net/Links/2008ITRS/Home2008.htm<br />

[2] E. Beyne, “The Rise of the 3 rd Dimension for System Integration”<br />

Proc. IEEE Int. Interconnect Technology Conference, pp. 1-5, 2006.<br />

[3] M. Rencz, “Thermal Issues in Stacked Die Packages”, 21 st IEEE<br />

SEMI-THERM Symposium, pp.307-312, March 2005.<br />

[4 ] FireBolt (Nanoscale Full-Chip Thermal Simulator), Gradient<br />

Design Automation, Inc, http://www.gradient-da.com/<br />

[5] M. Turowski,, S. Dooley, A. Raman, M. Casto, Multiscale 3D<br />

thermal analysis of analog ICs: From full-chip to device level, 14th<br />

International Workshop on Thermal Inveatigation of ICs and<br />

Systems, pp. 64-69, 2008.<br />

[6] M. Rencz, V. Székely: Structure function evaluation of stacked<br />

dies, Proceedings of the XXth SEMI-THERM Symposium, March<br />

9-11, San Jose, CA, USA, pp 50-55, 2004.<br />

[7] Enrico A. Garcia, Chia-Pin Chiu: Compact Modeling Approaches to<br />

Multiple Die Stacked Chip Scale Packages. , 19th IEEE SEMI-<br />

THERM Symposium, pp. 160-167, 2003.<br />

[8] Li Zhang, Noella Howard, Vijaylaxmi Gumaste, Amindya Poddar,<br />

Luu Nguyen: Thermal Characterization of Stacked-Die Packages,<br />

20th IEEE SEMI-THERM Symposium, pp. 55-63, 2004.<br />

[9] R. Gillon, P. Joris, H. Oprins, B. Vandevelde, A.Srinivasan, R.<br />

Chandra, Practical chip-centric electro-thermal simulations,<br />

Proceedings of THERMINIC, Rome, Italy, pp. 220-223, 24-26<br />

September 2008.<br />

[10] C. Chiang, S. Sinha, The road to 3D <strong>EDA</strong> tool readiness,<br />

Proceedings of the 2009 Asia and South Pacific Design Automation<br />

Conference, pp. 429 – 436, 2009.<br />

[11] E.R. Eckert and D. Eckert, "Analysis Of Heat And Mass Transfer",<br />

eq (1-14), p.11, CRC Press, 1986.<br />

[12] S. Melamed, T. Thorolfsson, A. Srinivasan, E. Cheng, P. Franzon<br />

and R. Davis, "Junction-level Thermal Extraction and Simulation<br />

of 3DICs", IEEE International Conference on 3D System<br />

Integration (3D IC), Sep 2009.<br />

[13] B. Swinnen et al., “3D Integration by Cu-Cu Thermo-Compression<br />

Bonding of Extremely Thinned Bulk-Si Die Containing 10 μm<br />

Pitch Through-Si Vias”, Int. Electron Devices Meeting, pp. 1-4,<br />

December 2006.<br />

[14] C. Torregiani, V. Cherman, F. Duflos, R. Labie, B. Vandevelde,<br />

3D-SIC: Thermal modeling & experimental validation, presentation<br />

at IMEC Core Partner Week: 3D Integration, 20 - 24 October 2008.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 49<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Emulation-Based Transient Thermal Modeling of<br />

2D/3D Systems-on-Chip with Active Cooling<br />

David Atienza<br />

Embedded Systems Laboratory (ESL), EPFL<br />

ESL-IEL-STI-EPFL, Station 11, CH1015, Lausanne, Switzerland<br />

E-mail: david.atienza@epfl.ch<br />

Abstract-New tendencies envisage 2D/3D Multi-Processor<br />

System-On-Chip (MPSoC) as a promising solution for the<br />

consumer electronics market. MPSoCs are complex to design,<br />

as they must execute multiple applications (games, video), while<br />

meeting additional design constraints (energy consumption,<br />

time-to-market, etc.). Moreover, the rise of temperature in the<br />

die for MPSoCs, especially for forthcoming 3D chips, can<br />

seriously affect their final performance and reliability. In this<br />

context, transient thermal modeling is a key challenge to study<br />

the accelerated thermal problems of MPSoC designs, as well as<br />

to validate the benefits of active cooling techniques (e.g., liquid<br />

cooling), combined with other state-of-the-art methods (e.g.,<br />

dynamic frequency and voltage scaling), as a solution to<br />

overcome run-time thermal runaway.<br />

In this paper, I present a novel approach for fast transient<br />

thermal modeling and analysis of 2D/3D MPSoCs with active<br />

cooling, which relies on the exploitation of combined hardwaresoftware<br />

emulation and linear thermal models for liquid flow.<br />

The proposed framework uses FPGA emulation as the key<br />

element to model the hardware components of 2D/3D MPSoC<br />

platforms at multi-megahertz speeds, while running real-life<br />

software multimedia applications. This framework<br />

automatically extracts detailed system statistics that are used as<br />

input to a scalable software thermal library, using different<br />

ordinary differential equation solvers, running in a host<br />

computer. This library calculates at run-time the temperature<br />

of on-chip components, based on the collected statistics from<br />

the emulated system and the final floorplan of the 2D/3D<br />

MPSoC. This approach creates a close-loop thermal emulation<br />

system that allows MPSoC designers to validate different<br />

hardware- and software-based thermal management<br />

approaches, including liquid cooling injection control, under<br />

transient and dynamic thermal maps. The experimental results<br />

with 2D/3D MPSoCs illustrate speed-ups of more than three<br />

orders of magnitude compared to cycle-accurate MPSoC<br />

thermal simulators, at the same time as preserving the accuracy<br />

of the estimated temperature within 3% of traditional<br />

approaches using finite-element simulations for 3D stacks and<br />

liquid cooling.<br />

Keywords – Thermal modeling, transient analysis, FPGA<br />

emulation, 2D/3D MPSoC, active cooling, close-loop<br />

systems<br />

I. INTRODUCTION<br />

The power density of high performance systems continues to<br />

increase with every process technology generation. Nowadays,<br />

several commercial multi-processor system-on-chip (MPSoC)<br />

architectures are available several tens of cores, such as IBM’s<br />

Cell [1], Sun’s Niagara T1 [2] and Tilera’s 64-core architecture<br />

[3]. However, in these new MPSoC architectures, power<br />

density increases the operating temperature and creates<br />

significant hot-spots on the die that need to be managed.<br />

Furthermore, 3D stacking is an emerging solution to increase<br />

the integration capabilities and frequency of forthcoming<br />

MPSoCs [4,5], but it substantially increases further power<br />

density due to the placement of computational units on top of<br />

each other. Therefore, temperature-induced problems<br />

exacerbate in 3D systems and are a major concern to be<br />

explored as early as possible in 3D MPSoC design and<br />

integration.<br />

To explore the hardware/software (HW/SW) thermal<br />

interaction, cycle-accurate MPSoC simulators including SW<br />

thermal models exist, based on post-processing of run-time<br />

power consumption and floorplanning information [6, 7, 8].<br />

However, these complex SW environments are very limited in<br />

performance (i.e., up to 100 KHz) due to signal management<br />

overhead and are not interactive with thermal control systems<br />

in real-time. Thus, they are not suitable for thermal control<br />

exploration in 2D/3D MPSoCs running complex real-life<br />

applications. Moreover, higher abstraction levels simulators<br />

attain faster simulation speeds, but lose significantly the<br />

accuracy for fine-grained thermal-aware architectural tuning or<br />

thermal modeling.<br />

One alternative to cycle-accurate simulators is HW<br />

emulation. Various MPSoC emulation frameworks have been<br />

proposed [9, 10, 11]. Nevertheless, they are not designed for<br />

thermal exploration and are usually very expensive for<br />

(between $100K and $1M) and not flexible enough for MPSoC<br />

architecture exploration since their baseline architectures (e.g.<br />

processing cores or interconnections) are proprietary, not<br />

permitting internal changes. Furthermore, no flexible<br />

interconnection interfaces between HW emulation and also no<br />

fast thermal libraries that model active cooling behavior (e.g.,<br />

liquid cooling [12, 13]) exist nowadays. Thus, thermal effects<br />

can only be verified in the last phases of the design process,<br />

typically when the final architecture and cooling components<br />

are available can be tested in the final system integration<br />

process, which can typically result in very expensive MPSoCs<br />

redesigns.<br />

As a result, one major design challenge is the deployment of<br />

fast exploration methods of multiple HW and SW<br />

implementation alternatives for 2D and 3D MPSoCs with<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 50<br />

ISBN: 978-2-35500-010-2


accurate estimations (e.g. performance, energy) that address the<br />

modeling of transient thermal behavior to tune the final 2D/3D<br />

MPSoC architectures.<br />

In this paper I present a new HW/SW FPGA-based emulation<br />

framework of the 2D/3D MPSoC architectures, which enables<br />

realistic thermal studies in an early stage of system integration,<br />

including active (liquid) cooling modeling, as well as power,<br />

energy and performance constraints validation in real-time.<br />

First, the HW components of 2D/3D MPSoC components are<br />

mapped on an FPGA-based framework and statistics are<br />

extracted from three key MPSoC architectural levels<br />

(processors, memory subsystem and interconnections), while<br />

real-life applications are executed. Second, this run-time<br />

information is sent using a standard Ethernet connection to a<br />

dynamically adaptable SW thermal modeling tool running on a<br />

host PC. Third, this tool evaluates in real-time the thermal<br />

behaviour of the final MPSoC design, selecting different<br />

ordinary differential equation solvers according to the desired<br />

accuracy in thermal exploration and simulation time of 2D/3D<br />

chip stacks, and returns this information to the FPGA<br />

emulating the MPSoC design. This final step creates a closedloop<br />

thermal simulation environment for 2D and 3D chips that<br />

enables testing temperature management strategies in real-time.<br />

The experiments results with 2D/3D MPSoCs, using real-life<br />

case studies models of the UltraSPARC T1 [2] and other<br />

industrial platforms from Freescale [14], Philips [15], etc.,<br />

show that this HW/SW emulation framework for transient<br />

thermal analysis can achieve speed-ups of more than three<br />

orders of magnitude compared to state-of-the-art cycle-accurate<br />

2D/3D MPSoC thermal simulators, while keeping the accuracy<br />

of uncertainty levels of the simulated temperature obtained<br />

with the proposed method within 3% with respect to finiteelement<br />

simulations.<br />

The remainder of this paper is structured as follows. It starts<br />

in Section II with a detailed overview of prior art in thermal<br />

modeling and architectural simulation for 2D and 3D MPSoCs.<br />

Then, in Section III it is presented the proposed HW/SW<br />

thermal emulation flow for 2D/3D MPSoCs. Next, in Section<br />

IV it is described the 3D liquid cooling model. After that, in<br />

Section V we present the experimental setup and results with<br />

different 2D and 3D MPSoCs. Finally, in Section VI, I<br />

summarize the main conclusions of this work.<br />

II.<br />

RELATED WORK<br />

It is widely accepted that 2D/3D MPSoCs represent a<br />

promising solution for forthcoming complex processing<br />

systems [18]. This has spurred research on modeling and<br />

prototyping MPSoC designs, using both HW and SW. From<br />

the SW viewpoint, solutions have been suggested at different<br />

abstraction levels, enabling tradeoffs between simulation speed<br />

and accuracy. First, fast analytical models have been proposed<br />

to prune very distinct design options using high-level<br />

languages (e.g., C or C++) [19]. Also, full system simulators,<br />

like Symics [20] and others [7, 8], have been developed for<br />

embedded SW debugging and can reach megahertz speeds, but<br />

are not able to accurately capture performance and power<br />

effects (e.g., at the interconnection level) depending on the<br />

cycle-accurate behavior of the HW. Second, transaction-level<br />

7-9 October 2009, Leuven, Belgium<br />

modeling in SystemC, in academic [21] and industrial context<br />

[22, 23] has enabled more accuracy in system-level simulation<br />

at the cost of sacrificing simulation speed (about 100–200<br />

KHz). Such speeds render unfeasible the transient testing of<br />

large systems due to overly long simulation times, conversely<br />

to the proposed 2D/3D thermal emulation framework.<br />

Moreover, in most cases SW simulations are only limited to a<br />

number of proprietary interfaces.<br />

Finally, important research has been done to obtain cycleaccurate<br />

frameworks in low-level SystemC or HDL languages.<br />

Companies and universities have developed cycle-accurate<br />

simulators using post-synthesis libraries from HW vendors [27,<br />

28]. However, their simulation speeds (10–120 KHz) are<br />

unsuitable for long MPSoC thermal exploration.<br />

The most important alternative nowadays to MPSoC<br />

simulation is HW emulation. In industry, one of the most<br />

complete sets of statistics is provided by Palladium II [9],<br />

which can accommodate very complex systems (i.e., up to 256<br />

Mgate). However, its main disadvantages are its operation<br />

frequency (approximately 1.6 MHz) and cost (around $1<br />

million). Then, ASIC integrator [10] is much faster for MPSoC<br />

architectural exploration. Nevertheless, its major drawback is<br />

its limitation to only up to few ARM-based cores and only<br />

AMBA interconnects. The same exploration limitation of<br />

proprietary cores occurs with Heron SoC emulation [24] and<br />

Zebu-XL [11], both based on multi-FPGA emulation in the<br />

order of MHz. They can be used to validate intellectual<br />

property blocks, but are not flexible enough for fast MPSoC<br />

design exploration or detailed statistics extraction. In the<br />

academic world, a recent emulation platform for exploring<br />

performance of MPSoC alternatives is TC4SOC [25]. It uses a<br />

proprietary 32-bit VLIW core and enables exploration of<br />

interconnects by using an FPGA, but, it does not enable<br />

detailed extraction of statistics and performing thermal<br />

modeling at the other three architectural levels proposed in this<br />

work, i.e., memory hierarchy, interconnects and processing<br />

cores. Finally, an interesting approach that uses FPGA<br />

prototyping to speed-up co-verification of pure SW simulators<br />

is described in [26], which uses a cycle-by-cycle<br />

synchronization basis with the C/C++ SW part by using an<br />

array of shared registers in the FPGA that can be accessed by<br />

both sides at a speed of 1 MHz, outlining the potential benefits<br />

of combined HW-SW frameworks which it is exploited in the<br />

proposed approach to reach an MPSoC emulation speed of<br />

hundreds of MHzs.<br />

Turning our attention to thermal modeling, [6] presented a<br />

thermal/power model for 2D super-scalar architectures. It can<br />

predict the temperature variations between the different<br />

components of a processor and show the expected influence in<br />

performance. Additionally, [14, 17] have investigated the<br />

impact of temperature and voltage variation across the die of<br />

2D and 3D MPSoCs. Their results show that the temperature<br />

can vary by more than 25 degrees across the die and tiers. In all<br />

these works, a “1D” approximation is often assumed to<br />

evaluate the thermal behavior [29, 30]. This means that the<br />

power is uniformly produced on active levels (or on parts of<br />

them), one per stratum. This assumption may lead to strongly<br />

underestimated maximum temperature. Thus, several authors<br />

[31] use this simplification but perform detailed simulation of<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 51<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

3D thermal effects due to the presence and localization of<br />

supervias, and analyze the local (3D) and global (1D) modeling<br />

contribution to the maximum temperature, showing that<br />

thermal resistance can be higher than 1D thermal resistance due<br />

to local 3D effects and even more fine-grain transient analysis<br />

need to be performed to avoid thermal overestimations.<br />

Finally, numerical thermal simulations have been carried out to<br />

convert power dissipation distribution into a temperature<br />

distribution in a 3D IC [32]. Based on the past work, the<br />

development of a fundamental analytical model for heat<br />

transport in 3D integrated circuits is highly desirable. Such an<br />

analytical model provides a framework in which to analyze the<br />

general problem of heat dissipation in 3D ICs, and will enable<br />

simple thermal design guidelines.<br />

A key component of the 3D technology is the through-silicon<br />

via (TSV) that enables communication between the two dies as<br />

well as with the package. Several works have analyzed the<br />

optimization of placement of TSVs for heat dissipation in 3D<br />

ICs [31, 32]. Other works [33] propose analytical and finiteelement<br />

models of heat transfer in 3D electronic circuits and<br />

use this model to analyze the impact of various geometric<br />

parameters and thermo-physical properties (through silicon<br />

vias, inter-die bonding layers, etc.) on thermal performance of<br />

a 3D IC, but at the cost of very time-consuming simulations.<br />

Overall, all of these works prove the importance of hot spots in<br />

2D high-performance multi-core systems (and even more in 3D<br />

structures), as well as the need of accurate and fast transient<br />

temperature analysis tools for the different architectural<br />

components of MPSoCs (cores, TSVs, etc.). Thus, the<br />

proposed emulation method aims at estimating accurately the<br />

transient temperature of integrated circuits implementing<br />

2D/3D MPSoCs, including active cooling mechanisms (e.g.,<br />

liquid cooling).<br />

III. HW/SW THERMAL EMULATION FLOW FOR MPSOCS<br />

In Figure 1 it is depicted an overview of the instantiation of<br />

the proposed HW/SW thermal emulation environment for a<br />

Freescale-based 3-core MPSoC [14], implemented onto a<br />

Xilinx Virtex-V FPGA, modeling the transient thermal<br />

behavior of the system while executing multiple multimedia<br />

applications (e.g., SW-defined radio, video streaming, etc.) and<br />

a multi-processor operating system (OS). The system can be<br />

scaled to any number of cores sub-systems by using<br />

appropriate FPGAs.<br />

The instantiation flow of the proposed HW/SW transient<br />

thermal emulation environment is created in four steps. First,<br />

the HW part of the MPSoC emulator is defined. It implies<br />

synthesizing the MPSoC architecture onto a certain FPGA<br />

target technology (a multi-FPGA environment exists, if it is<br />

necessary). Second, specific hardware sniffers are included in<br />

the FPGA that monitor particular signals of each component of<br />

the target 2D/3D MPSoC architecture [15]. The purpose of this<br />

step is to define a very fast method to extract the switching<br />

activity of MPSoC components, while being transparent to the<br />

normal MPSoC operation; thus, the power extraction method<br />

does not interfere or alter the actual run-time power<br />

consumption, conversely to including SW profiling to extract<br />

the execution statistics of the target MPSoC.<br />

Fig. 1. Overview of the 2D/3D MPSoC thermal emulation framework<br />

In the third step, the run-time power information is sent using<br />

a standard Ethernet connection to a dynamically adaptable SW<br />

thermal modeling tool running on a host PC. This tool<br />

evaluates in real-time the thermal behaviour of the final 2D/3D<br />

MPSoC design using a thermal model developed for bulk<br />

silicon chip systems [15], and calculates the temperature of<br />

each cell according to the floorplan of the emulated MPSoC,<br />

the frequency/voltage of each MPSoC component at run-time,<br />

as well as the specific leakage power at run-time for each<br />

component in the MPSoC. It includes different types of<br />

ordinary differential equation solvers [16](Forward Euler 1 st<br />

order, Crank-Nicholson 2 nd order method, Runge-Kutta 4 th<br />

order method, etc.), which enables multiple trade-offs between<br />

accuracy and thermal modeling time in 2D/3D chip stacks.<br />

Finally, in the fourth step of the thermal emulation flow, the<br />

temperatures calculated by the SW thermal library are sent<br />

back to the FPGA emulating the MPSoC system (see link<br />

between the host PC and the FPGA on the right side of Figure<br />

1) and are stored in registers of the FPGA that emulate the<br />

presence of thermal sensors in the target MPSoC in certain<br />

positions of the floorplan.<br />

This final mechanism provides real-time temperature<br />

information visible by the running multi-processor OS on the<br />

modeled 2D/3D MPSoC, as the registers storing the predicted<br />

temperature are memory-mapped in a restricted position of the<br />

memory hierarchy visible only by the OS of the MPSoC. Then,<br />

the emulated temperature sensors are updated by the thermal<br />

monitoring subsystem in regular intervals, typically<br />

configurable in the range of 10 ms to 1s, according to the<br />

system designer interest. Thus, thanks to a handshake<br />

mechanism between the thermal model and the multi-processor<br />

OS middleware to synchronize the upload/download of<br />

temperatures, our extended framework implements a closedloop<br />

thermal monitoring system, which enables exploring the<br />

impact of thermal control mechanisms in the transient thermal<br />

behavior of 2D/3D MPSoCs at multi-megahertz speeds.<br />

IV.<br />

ACTIVE COOLING MODEL FOR 2D/3D MPSOC<br />

Modeling of the 3D stacked architecture with liquid cooling<br />

can be accomplished in three steps: (i) defining a grid-level<br />

thermal RC network of 2D/3D chip stacks, (ii) adding models<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 52<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

for the interlayer material and TSVs distribution and (iii) measurements and heat source injection). This figure shows<br />

modeling water flowing in independent thermal cell layers, that the average error in the worst thermal propagation part in<br />

which represent microchannels in the stacks. These three steps 3D stack (inter-tier thermal model) is very small, i.e., 2.7%,<br />

are detailed in this section.<br />

and the maximum error is less than 5%.<br />

A. RC Network for 2D/3D Stacks<br />

2D/3D thermal modeling can be accomplished using an<br />

automated model that forms the RC circuit for certain grid<br />

dimensions. In this work, it is used the model proposed in [15],<br />

which has been extended to include 3D modeling capabilities<br />

as discussed in [17]. The extension for the existing multilayered<br />

thermal modeling provides a new interlayer material<br />

model to include the TSVs (cf. Section IV-B) and the<br />

microchannels (cf. Section IV-C). Then, in a typical automated<br />

thermal model, the thermal resistance and capacitance values of<br />

the blocks or grid cells are computed initially at the start of the<br />

simulation, considering that the system properties do not vary<br />

at runtime. To model the heterogeneous characteristics of the<br />

interlayer material including the TSVs and microchannels, I<br />

introduce two major differences to other works: (1) as opposed<br />

to having a uniform thermal resistivity value of the layer, our<br />

infrastructure enables having various resistivity values for each<br />

grid, (2) the resistivity value of the cell can vary at runtime.<br />

The interlayer material is divided into a grid, where each grid<br />

cell except for the cells of the microchannels has a fixed<br />

thermal resistance value depending on the characteristics of the<br />

interface material and TSVs. The thermal resistivity of the<br />

microchannel cells is computed based on the liquid flow rate<br />

through the cell, and the characteristics of the liquid at runtime.<br />

Bonding<br />

Pads<br />

Epoxy with<br />

alumina particles<br />

Micro-Heaters<br />

and<br />

Temperature Sensors<br />

Fig. 2. Manufactured 5-tier stack chip for 3D thermal library validation<br />

The proposed RC thermal model has been calibrated for the<br />

manufacturing technologies of 2D MPSoCs using experimental<br />

data based on the technologies used by industrial partners (Sun,<br />

Freescale, IBM, etc.). Then, the tuning of the version of the<br />

thermal library for 3D MPSoCs has been performed by<br />

manufacturing a 5-tier 3D chip stack with resistors and thermal<br />

sensors, as shown in Figure 2.<br />

Exhaustive experiments have been performed in the 5-tier stack<br />

to characterize the possible inaccuracy of the proposed RC<br />

thermal network for 2D/3D chip stacks. One of the measured<br />

sets of experiments is shown in Figure 3, with heat sources<br />

modeling cores in the first tier and measurements in the last tier<br />

(to create the largest possible temperature variation between<br />

336<br />

333<br />

330<br />

327<br />

324<br />

321<br />

318<br />

315<br />

312<br />

Sim ulation<br />

Inter Layer<br />

Measurement<br />

Layer 2 Layer 3 Layer 4 Layer 5<br />

Fig. 3. Thermal measurements of inter-layer heat propagation vs. RC-network<br />

simulations for the 5-tier stack chip<br />

B. Through-Silicon-Vias Modeling<br />

In order to model the effect of TSVs on the thermal behavior of<br />

3D MPSoCs, it is necessary to first perform a study to<br />

determine which modeling granularity is required. In the TSV<br />

model it is required to provide a TSV density for each unit (i.e.,<br />

core, cache, interconnect line, etc.). Therefore, it is assumed<br />

that the effect of the TSV insertion to the heat capacity of the<br />

interface material is negligible, which is reasonable as the total<br />

area of TSVs constitutes a very small percentage of the total<br />

area of the material. Then, it is differentiated among the<br />

different block functionalities to adjust the TSV density. For<br />

example, a crossbar structure requires a high TSV density,<br />

while a processing core does not require any modeling of TSV<br />

interference in its thermal spreading properties. As, a result, we<br />

assign a TSV density to each unit based on its functionality and<br />

system design choices. The TSV dimensions are set to 10µm x<br />

10µm, and a minimum spacing of 10 µm from each side of the<br />

TSV is employed. In fact, the experiments developed in the<br />

calibrated 3D stack thermal simulation model of the 5-tier stack<br />

indicates that a block-level granularity provides very similar<br />

results to providing the exact locations of TSVs, while it has a<br />

very important complexity reduction in transient thermal<br />

analysis.<br />

C. Active (Liquid) Cooling Modeling<br />

Next, active cooling properties (i.e., liquid cooling) have<br />

been modeled using additional layers of thermal cells with<br />

different cooling thermal conductance and resistance properties<br />

than silicon and metal layers, using IBM’s technology [12, 13].<br />

In fact, in a 3D system with liquid cooling, the local junction<br />

temperature can be computed using a resistive network, as<br />

shown in Figure 4.<br />

In this figure, the thermal resistance of the wiring layers (R b ),<br />

the thermal resistance of the silicon (R Si ) and the convective<br />

thermal resistance are combined to model the 3D stack.<br />

Considering the heat flux (q) as the source and the chip backside<br />

temperature (T fluid ) as the ground, the electrical circuit can<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 53<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

be solved to get the junction temperature (T junction ). Thus, the<br />

total thermal resistance (R tot ) of the junction is computed as in<br />

Equation 2 [13]. The parameters of the equations are listed in<br />

Table I, and their values are fixed according to [12] and [13].<br />

R tot = R cond + R conv + R heat (1)<br />

R tot = 1/(G si /t + 1/R b ) + A/(h.A t ) + A/(V.P.c p ) (2)<br />

Fig. 5. Representation of microchannels/TSVs layout in emulated 3D MPSoCs<br />

Fig. 4. Equivalent 3D resistive network including liquid cooling<br />

According to [13], it is considered a base flow rate between<br />

15ml and 150ml/min. Then, each channel has a width of<br />

500µm and a depth of 300µm.<br />

TABLE I<br />

2D/3D THERMAL AND LIQUID COOLING CHARACTERISTICS<br />

Parameter name<br />

Definition<br />

R tot Total thermal resistance<br />

R cond Conductive thermal resistance<br />

transient thermal analysis. In fact, the results of the exploration<br />

of 2D thermal behavior on a commercial 8-core MPSoC [2] has<br />

shown that the proposed thermal emulation can achieve speedups<br />

of more than to 800× with respect to thermal simulators.<br />

Moreover, the thermal exploration of 3D MPSoCs with<br />

active cooling (liquid) modeling shows even larger speed-ups<br />

(more than 1000×) due to power extraction and thermal<br />

synchronization overhead in thermal simulators [6, 7, 17].<br />

R conv<br />

Convective thermal resistance<br />

R heat Thermal resistance of passive material layers<br />

Variable thermal conductivity of Si<br />

G si (dependent on T)<br />

t Si baseline thickness<br />

R b Thermal resistance of interconnection layers<br />

A Area of high power dissipation intensity<br />

h Heat transfer coefficient of fluid<br />

A t Total surface area<br />

V Volumetric flow rate<br />

P Density<br />

c p Heat capacity<br />

Then, Figure 5 outlines the emulated microchannels liquid<br />

cooling and TSVs layout. Thus, the microchannels are<br />

distributed uniformly on each tier and the fluid flows through<br />

each channel with the same flow rate, which can be modified at<br />

run-time by the OS, and do not intersect with TSVs.<br />

V. EXPERIMENTAL SETUP AND RESULTS<br />

The proposed HW/SW thermal emulation framework for<br />

2D/3D MPSoCs has been compared with different SW thermal<br />

libraries for 2D/3D MPSoCs [6, 7, 17], while running intensive<br />

MPSoCs processing kernels. The obtained results are depicted<br />

in Figure 6 and show significant speed-ups with respect to<br />

state-of-the-art temperature estimation frameworks [14,15, 17].<br />

In particular, these results outline that the proposed modeling<br />

approach for MPSoC HW/SW thermal emulation scales<br />

significantly better than state-of-the-art SW simulators for<br />

3-core 2D 4-core 2D 8-core 2D 8-core 3D 8-core 3D<br />

MPSoC[14] MPSoC [15] MPSoC [17] MPSoC [17] MPSoC [17]<br />

with liquid cooling<br />

Fig. 6. Simulation speed-ups of the proposed HWSW thermal emulation<br />

framework for transient thermal analysis with respect to state-of-the-art<br />

2D/3D thermal simulators<br />

In the second set of experiments it has been evaluated the<br />

accuracy of the proposed thermal model with respect to<br />

transient thermal analysis of 3D liquid cooling-based<br />

MPSoCs. To this end, it has been compared the temperature<br />

evolution at the junction (cf. Equation 2) using finite-element<br />

simulations [13] (red straight line) with the estimated<br />

temperature of the linear model of Figure 4 (yellow dashed<br />

line). The results are shown in Figure 7, which indicates that<br />

the variations between both types of simulations are less than<br />

1.5% on average (encircled area). Furthermore, while the<br />

proposed emulation framework using the simple liquid<br />

cooling model for straight channels can calculate the junction<br />

temperature evolution in the order of few milliseconds, the<br />

detailed finite-element simulation can take few hours. Thus,<br />

it illustrates the potential of linear thermal estimation<br />

methods for simple geometries of liquid microchannels using<br />

a laminar flow regime.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 54<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 7. Temperature evolution at the junction in liquid-cooling based<br />

systems using finite-element simulation and the proposed model for straight<br />

liquid cooling channels<br />

All in all, these experiments outline the potential benefits of<br />

the proposed HW/SW thermal emulation framework to<br />

explore the design space of complex thermal management<br />

policies in 2D/3D MPSoCs, compared to exiting methods<br />

based on SW cycle-accurate simulators or finite-elements<br />

simulation, which suffer from very important speed limits for<br />

long simulations, necessary to achieve representative<br />

transient thermal analysis of real systems.<br />

VI. CONCLUSIONS<br />

2D and emerging 3D MPSoC architectures have been<br />

proposed as a promising solution to exploit the available area<br />

in forthcoming computing systems. In this paper I have<br />

presented a new HW/SW FPGA-based emulation framework<br />

that enables the rapid analysis of run-time thermal behavior<br />

in 2D/3D MPSoCs with active liquid cooling. The<br />

experimental results have shown that the proposed<br />

framework obtains detailed transient thermal exploration with<br />

a speed-up of more than 1000× with respect to cycle-accurate<br />

MPSoC simulators, even more when active (liquid) cooling<br />

effects are considered in the overall thermal system analysis.<br />

Furthermore, almost no loss in thermal estimation accuracy<br />

(less than 3%) is experienced with respect to classical (and<br />

very time-consuming) finite-element simulations. Overall,<br />

this HW/SW thermal emulation approach is a promising<br />

mechanism to perform long-time transient behavior<br />

characterization in 2D and 3D MPSoC stacks.<br />

ACKNOWLEDGMENT<br />

The author would like to thank Ayse K. Coskun and Prof.<br />

Tajana Simunic Rosing from UCSD, Prof. Luca Benini at<br />

Bologna University, and the group of Advanced Packaging<br />

Technologies at IBM Zürich for their useful feedback and<br />

inputs in the validation of the 3D thermal modeling and<br />

liquid cooling technology. This work has been supported in<br />

part by the Swiss NanoTera NTF Project - CMOSAIC, and a<br />

FPGA donation of the OpenSPARC University Program of<br />

Sun Microsystems.<br />

REFERENCES<br />

[1] D. Pham et al., Design and Implementation of a First-Generation<br />

Cell Processor., Proc. ISSCC, 2005.<br />

[2] P. Kongetira et al.,Niagara: A 32-way multithreaded SPARC<br />

processor., IEEE Micro, 2005.<br />

[3] Tilera Corporation, Tilera’s 64-core architecture, 2008,<br />

www.tilera.com/products/processors.php<br />

[4] W. Davis, et al., Demystifying 3D ICs: The Pros and Cons of Going<br />

Vertical. IEEE Des&Test, 2005.<br />

[5] M. Healy, et al., Multiobjective microarchitectural floorplanning for<br />

2D and 3D ICs. IEEE Transactions on CAD, 2007<br />

[6] K. Skadron et al., Temperature-aware microarchitecture: Modeling<br />

and implementation (Hot-spot simulator), IEEE TACO, 2004<br />

[7] G. Paci et al., Exploring temperature-aware design in low-power<br />

MPSoCs, Proc. DATE, 2006.<br />

[8] M.-N. Sabry, High-precision thermal models, IEEE TCPT, 2005.<br />

[9] Cadence Palladium II, 2005. http://www.cadence.com.<br />

[10] ARM integrator AP, 2004. http://www.arm.com.<br />

[11] Emulation Engineering. Zebu models, http://www.eve-team.com.<br />

[12] T. Brunschwiler et al., Direct liquid-jet impingement cooling with<br />

micron-sized nozzle array and distributed return architecture. Proc.<br />

ITHERM, 2006.<br />

[13] T. Brunschwiler, et al., Interlayer cooling potential in vertically<br />

integrated packages, Microsyst. Technologies, 2008.<br />

[14] F. Mulas et al., Thermal Balancing Policy for Streaming Computing<br />

on Multiprocessor Architectures, Proc. DATE, 2008.<br />

[15] D. Atienza, et al., A fast HW/SW FPGA-based thermal emulation<br />

framework for multi-processor system-on-chip. Proc. DAC, 2006.<br />

[16] B. Richard, et al., Numerical Analysis, Brooks Cole, 2000.<br />

[17] A.K. Coskun, et al., Dynamic Thermal Management in 3D<br />

Multicore Architectures, Proc. DATE, 2009.<br />

[18] A. Jerraya, et al. Multiprocessor SoCs. Morgan Kaufmann, 2005.<br />

[19] G. Braun, et al. Processor/memory co-exploration on multiple<br />

abstraction levels. Proc. DATE, 2003.<br />

[20] P. S. Magnusson, et al. Simics: A full system simulation platform.<br />

IEEE Computer, 2002.<br />

[21] P. Paulin, et al. Stepnp: A system-level exploration platform for<br />

network processors, IEEE Des&Test , 2002.<br />

[22] Coware, Convergence and Lisatek product lines, 2006.<br />

http://www.coware.com<br />

[23] ARM, PrimeXSys platform architecture and methodologies, white<br />

paper, 2005, http://www.arm.com/<br />

[24] Heron Engineering, SoCemulation, 2004, http://www.hunteng.co.uk<br />

[25] M. D. Nava, et al., An open platform for developing MPSoC, IEEE<br />

Computer, 2005.<br />

[26] Y. Nakamura, A fast HW/SW co-verification method for SoC by<br />

using a C/C++ simulator and FPGA emulator with shared register<br />

communication, Proc. of DAC, 2004.<br />

[27] Mentor Graphics, Platform express and primecell, 2005,<br />

http://www.mentor.com/<br />

[28] Synopsys, Realview Max-sim ESL Exploration Framework, 2004,<br />

http://www.synopsys.com/<br />

[29] T.-Y. Chiang, et al, Thermal analysis of heterogeneous 3-D ICs<br />

with various integration scenarios, Proc. of IEDM, 2001.<br />

[30] A. Rahman, et al., Thermal analysis of three-dimensional integrated<br />

circuits, Proc. of IITC, 2001.<br />

[31] P. Leduca et al., Challenges for 3d IC integration: bonding quality<br />

and thermal management, Proc. of IITC, 2007.<br />

[32] K. Puttaswamy, et al., Thermal analysis of a 3d die-stacked highperformance<br />

microprocessor, Proc. of GLSVLSI, 2006.<br />

[33] A. Jain, et al., Thermal modeling and design of 3D integrated<br />

circuits, Proc. of ICTTPES, 2008.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 55<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Thermal Analysis of Hot Spots in Advanced 3D-<br />

Stacked Structures<br />

C. Torregiani 1 , B. Vandevelde 1 , H. Oprins 1 , E. Beyne 1 , I. De Wolf 1,2<br />

1 IMEC, Kapeldreef 75, B-3001 Heverlee, Belgium<br />

2 MTM Dept., K.U.Leuven,Kastelpaark Arenberg 10, B-3001 Heverlee, Belgium<br />

Email: cristina.torregiani@imec.be<br />

Abstract- 3D integration architectures for microelectronic<br />

circuits have attracted much interest in the recent past, due to<br />

their capabilities for more efficient device integration and<br />

faster circuit operation. This type of assembly is formed by<br />

bonding multiple active layers through a dielectric material,<br />

and employs through-silicon vias for electrical interconnection.<br />

In this work we present the thermal analysis of a typical 3Dstack,<br />

performed by finite element simulations. The effect of the<br />

variation of various parameters on the area of influence of a hot<br />

spot and on the overall temperature in the stack has been<br />

analyzed. We have also investigated the thermal impact of<br />

copper studs in the dielectric: the results suggest that Cu studs<br />

with a small pitch in the glue can efficiently reduce the<br />

temperature in the Si dies.<br />

I. INTRODUCTION<br />

Thermal management for electronic devices, circuits, and<br />

packages has become an issue of concern during the last<br />

years, and even more of a concern for vertically integrated<br />

stacked structures. The determination of the temperature<br />

distribution in 3D systems has become an increasingly<br />

important need: the increase in power dissipation per die and<br />

in the number of stacked dies, together with the use of<br />

poorly conductive interface layers limits the dissipation of<br />

heat generated by electronic devices [1-5]. The problem is<br />

made worse by the fact that the power in electronic systems<br />

is usually dissipated in localized regions (so called hot<br />

spots). This causes an increase in thermal resistance due to<br />

heat spreading effects, and it makes the temperature<br />

distribution non-homogeneous over the dies.<br />

The advanced 3D stacked die assembly considered in this<br />

paper can be simply described as a stack of dies separated by<br />

interface layers. The assembly is attached to a package with<br />

a specified thermal resistance. The ambient conditions define<br />

the case-to-ambient thermal resistance.<br />

II. METHODOLOGY<br />

In this paper a vertically stacked structure is modeled<br />

using a finite element code [6]. The structure is composed by<br />

three silicon dies including the back-end-of-line (BEOL)<br />

layers. The active layers are attached to each other by a<br />

polymer, functioning both as glue and dielectric. The reason<br />

why the BEOL is also modeled as an additional layer is that<br />

it has a relatively low thermal conductivity, which is mainly<br />

dominated by the low thermally conductive dielectric [7]. A<br />

fixed reference temperature, chosen to be 0 0 C, is considered<br />

as boundary condition at the back side of the stacked<br />

structure, while the four sidewalls and the top surface are<br />

considered adiabatic. These boundary conditions can be<br />

viewed as a first order approximation of a real stack<br />

embedded in a poorly thermally conductive path at the top<br />

and the side, and glued through a bottom package resistance<br />

to a heat sink. Figure 1 shows the FEM mesh. Table I lists<br />

the thickness and thermal properties of each layer. The<br />

silicon thermal conductivity has been considered constant,<br />

with its value fixed at the room temperature value. For the<br />

temperature excursions considered here the error introduced<br />

by using this approximation is small [8].<br />

Fig. 1. 3D model of the stacked structure.<br />

TABLE I<br />

THICKNESS AND THERMAL PROPERTIES OF THE LAYERS COMPOSING THE STACK<br />

Layer thickness (μm) Thermal conductivity,<br />

k (W/mK)<br />

Die 1 100 150<br />

Die 2 20 150<br />

Die 3 20 150<br />

BEOL 8 0.5<br />

Polymer 1 0.3<br />

III.<br />

RESULTS<br />

A. Hot spots thermal footprint and impact of parameters<br />

variation<br />

The study evaluates the steady state thermal performance<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 56<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

of a 2.5 x 2.5 mm 2 wide stack when a power of 0.1 W is cylindrical shape, due to the presence of a resistive interface<br />

dissipated over an area of 100 x 100 μm 2 (hot spots), located below both levels. In these cases the temperature drops<br />

at different die levels and at different positions on the dies. across the interface layers, and there is a limited thermal<br />

The effect of the variation of several dimensional and effect on the bottom die.<br />

physical parameters has been investigated. The study<br />

quantifies the thermal impact of a variation in thermal<br />

resistivity of the interface layers (such a variation may be<br />

due to a change in the layer’s material properties and/or of<br />

its thickness), the variation in the die thickness, and the<br />

thermal impact of the presence of a package.<br />

The simulation results indicate that the temperature around<br />

a hot spot is distributed in a typically bell-shaped profile,<br />

with a characteristic radius of influence (or “thermal<br />

footprint”) dependent on the parameters mentioned. The<br />

temperature profile due to the single hot spot is centered in a<br />

narrow region on the level where the power is dissipated,<br />

while it spreads over larger regions on the other levels. The<br />

interference of multiple hot spots can be inferred by the<br />

superposition principle, if a linear problem (i.e. temperatureindependent<br />

thermal conductivity and non-convective<br />

boundary conditions) is considered.<br />

Figure 2 shows the local temperature increase at different<br />

vertical positions in the stack for power dissipated in<br />

different dies, and assuming a perfect cooling at the bottom<br />

of the stack. The study clearly indicates that the thermal<br />

impedance of the structure is dominated by BEOL and the<br />

bonding layers.<br />

Fig. 3. Temperature contour bands in the stack when the hot spots located in<br />

different dies (die 3 to 1, top to bottom) are separately active. The horizontal<br />

black lines show the width of the hot spot in each level.<br />

Fig. 2. Left: structure modeled. Right: local temperature increase sampled at<br />

different vertical positions in the stack. The path plots are taken along the<br />

lines indicated in the left figure.<br />

The temperature contour bands in the stack are shown in<br />

figure 3 for the three cases reported in figure 2. The images<br />

emphasize the difference in the radial geometry of the<br />

temperature field for the three cases. When power is<br />

dissipated in die 1 (bottom image of fig. 3) the heat spreads<br />

in an elliptical distribution to the ideal heat sink; the effect of<br />

this hot spot on the top dies is limited. When a hot spot is<br />

activated in the middle or the top die (middle and top<br />

images), the temperature distribution approximates rather a<br />

It is also observed that the presence of a resistive layer<br />

below the level in which the power is dissipated causes a<br />

broadening of the temperature field.<br />

In order to quantify this effect we show in figure 4 the<br />

thermal footprint of the hot spots. In this figure the radius of<br />

influence is defined as the half width at half maximum<br />

(HWHM) of the temperature distribution.<br />

The graphs show the temperature profile variation when<br />

the thickness of the resistive layers, BEOL and polymer, is<br />

varied. The “thin layers” case corresponds to the nominal<br />

values of the interface layers thickness as given in table I<br />

whereas in the “thick layers” case both BEOL and polymer<br />

are 10 μm thick. It can be noticed that the largest footprint is<br />

found on the top die. A change in the thickness of these<br />

resistive layers causes both a higher peak temperature and an<br />

enlargement of the hot spot thermal footprint, due to<br />

spreading in the die located above them.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 57<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 5. Temperature contour bands for a hot spot active in Die 1 and<br />

different boundary conditions at the bottom of the structure. Top picture:<br />

perfect cooling; middle and bottom picture: resistive package with a<br />

resistance of 0.3 and 1.3 K/W, respectively.<br />

Fig. 4. Temperature profile as a function of distance around a hotspot<br />

dissipating 0.1W of power in one of three possible locations: top die/middle<br />

die/bottom die. The hot spot thermal footprints (HWHM) for the two cases<br />

thin/thick layers are indicated by dashed arrows.<br />

Since real electronic assemblies are mounted on a<br />

package, an external (bottom) resistance mimicking the<br />

package resistance has also been considered in the study.<br />

R1 is the package thermal resistance between die 1 and the<br />

heat sink. The presence and magnitude of the package<br />

resistance causes an overall increase in temperature in each<br />

die, a larger hot spot thermal footprint, and changes the<br />

geometry of the temperature distribution – these effects are<br />

similar to the ones illustrated in the previous figures.<br />

B. Hot spots interaction<br />

Since in a typical chip stack more than one hot spot may<br />

be active simultaneously, it is of interest to explore their<br />

interaction, both at the same die level (in-plane die<br />

interference) as well as for hot spots located at different<br />

vertical positions (die to die interference). For this study a<br />

3D FEM model has been considered. The graphs in figure 6<br />

show the in-plane die interaction of two hot spots located on<br />

the stack upper level (die 3); the two hot spots have the same<br />

size (100 x 100 μm 2 ) and in each one a power of 0.1 W is<br />

dissipated. A perfect cooling at the bottom of the stack is<br />

assumed. Figure 6a shows how the maximum die<br />

temperature depends on the distance between the two active<br />

hot spots. The variable considered here is the ratio r between<br />

the hot spots distance and their diameter (r = D/HS). When<br />

the hot spots are distant (r = 10) there is little interaction and<br />

the peak temperature approaches the value found in the case<br />

of non-interacting hot spots (i.e. the case in which only one<br />

hot spot is active). As the hot spots move closer their<br />

temperature fields start to interfere; if we consider the<br />

interference distance as the distance at which the peak<br />

temperature increases 10% with respect to its asymptotic<br />

value, for this structure r = 3 marks the interference distance.<br />

This appears clearly in figure 6b, where we report the<br />

temperature variation along the hot spots horizontal plane for<br />

some r-values of interest. It is worth noticing that, since the<br />

superposition principle holds, it is possible to obtain the<br />

curves in the figure by adding up the single curves that<br />

pertain to each hot spot. A similar operation can give the<br />

resulting peak temperature when the hot spots are<br />

simultaneously active in different vertical levels.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 58<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

C. Effect of copper vias<br />

In the IMEC approach (figure 8), a 3D-die stack is built<br />

by using through-silicon Cu vias (TSVs) and a polymer<br />

material to fill the gap between the dies [4, 7, 9].<br />

Interconnections between dies are made using the vias<br />

(nails) which have electrical functionality but also a thermal<br />

advantage since the copper thermal conductivity is three<br />

orders of magnitude higher than the thermal conductivity of<br />

the polymer glue.<br />

Fig. 8. Right: schematic view of IMEC`s 3D-SIC chip stacking approach<br />

with hybrid Cu/dielectric bonding. In this figure and in the study reported,<br />

BCB has been assumed as the bonding dielectric. Left: SEM image of a TSV<br />

in a IMEC`s 3D stack.<br />

Fig. 6. Interaction of two hot spots located on the same level (die 3). (a) The<br />

graph reports the temperature measured in the center of hotspot 1, when the<br />

ratio (r) between the hotspots separation and their diameter is varied. (b)<br />

Temperature as a function of the distance from the hotspot 1 center, for<br />

different values of r.<br />

The meaning of the interaction distance can be better<br />

understood when looking at the flux plots in figure 7. For r =<br />

7 the hot spots spreading angles - visualized through the heat<br />

flux lines - almost do not intercept. On the other hand, an<br />

interaction between the respective temperature fields start to<br />

be visible when the power sources are so closely spaced (r =<br />

3) that their spreading angles overlap.<br />

Fig. 7. Heat flux emanating from two hot spots active in die 3, for two<br />

significant values of r.<br />

The presence of TSVs in the polymer layer alters its<br />

thermal properties: a decrease in thermal resistance of the<br />

polymer, and thus in the overall temperature, is expected in<br />

this case. It has been previously demonstrated [6] that, for<br />

the case of a homogeneous power dissipation in stacked dies,<br />

the presence of copper bumps in the polymer accounts for<br />

the major part of the reduction in the thermal resistance in a<br />

stack. This suggests the use of dummy Cu studs in the<br />

polymer as a thermal management aid.<br />

In order to quantify the impact of dummy copper on the<br />

stack temperature a series of FEM simulations have been<br />

performed. We have used a 2D axisymmetric model of a 2.5<br />

x 2.5 mm 2 wide structure with 5 μm diameter Cu studs<br />

placed in the interface layer - BCB in this case (figure 9 a).<br />

A package resistance R1 = 1.3 K/W has been considered for<br />

all simulations.<br />

At first, a parametric study has been performed in which<br />

the polymer thickness has been varied while keeping the Cu<br />

studs pitch fixed. Figure 9b reports the results and<br />

demonstrates that the presence of Cu studs with a<br />

sufficiently small pitch affects significantly the thermal<br />

resistance of the polymer layer. The copper provides for an<br />

effective heat conduction path (fig. 10), and reduces<br />

considerably the overall stack temperature. The maximum<br />

temperature in a stack with dummy Cu studs is found to be<br />

almost independent on the polymer thicknesses when the<br />

studs pitch is small, thus the copper thermal impact is more<br />

significant for thicker BCB layers. A similar conclusion can<br />

be drawn from figure 9c, where the copper impact as a<br />

function of bumps pitch is explored for two different BCB<br />

thicknesses.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 59<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

The maximum temperature reached on a given die in the<br />

stack and the extent to which the hotspot influences the<br />

surrounding plane is also influenced by the die thickness.<br />

Figure 11 reports the variation in the peak temperature in die<br />

3 for a hot spot located in the same die.<br />

Fig. 11. Peak temperature variation in die 3 as a function of the die<br />

thickness.<br />

VI. CONCLUSIONS<br />

We have presented the thermal analysis of a typical 3-D<br />

stack solution for microelectronics circuits with localized<br />

heat sources (hot spots). The analysis aimed at quantifying<br />

the impact of various parameters on the hot spots thermal<br />

footprint on the temperature distribution in the stack. The<br />

interaction between hot spots located on the same die level<br />

has also been analyzed. The potential thermal impact of the<br />

presence of dummy Cu in the dielectric glue has been<br />

demonstrated.<br />

Fig. 9. (a) Model used to study the thermal impact of Cu studs in BCB.<br />

(b) Peak temperature in the top die (die 3) as a function of polymer<br />

thickness. P is the studs pitch. (c) Peak temperature in the top die as a<br />

function of the studs pitch for two different polymer thicknesses.<br />

REFERENCES<br />

[1] M. Rencz, “Thermal issues in stacked die packages,” 21 st IEEE<br />

SEMI-THERM Symposium, pp. 307-312, March 2005.<br />

[2] S. Pinel et al, “Thermal modeling and management in ultrathin chip<br />

stack technology,” IEEE Trans. On Components and Packaging<br />

Technologies, vol. 25, Issue 2, pp. 244-253, June 2002.<br />

[3] S. Im and K. Banerjee, “Full chip thermal analysis of planar (2-D)<br />

and vertically integrated (3-D) high performance ICs,” IEDM Tech.<br />

Dig., pp. 727-730, December 2000.<br />

[4] E. Beyne, “The rise of the 3 rd dimension for system integration,”<br />

Proc. IEEE Int. Interconnect Technology Conference, pp. 1-5, 2006.<br />

[5] The International Technology Roadmap for Semiconductors<br />

(ITRS), ed. 2008. Available for free downloading, url:<br />

http://www.itrs.net/Links/2008ITRS/Home2008.htm.<br />

[6] Msc. MARC, commercially available software, url:<br />

http://www.mscsoftware.com.<br />

[7] L. C. Chen, B. Vandevelde, B. Swinnen, E. Beyne, “Enabling<br />

SPICE-Type modeling of the thermal properties of 3D-stacked<br />

ICs,” Electronics Packaging Technology Conference, pp. 492-499,<br />

December 2006.<br />

[8] V. Palankovski, S. Selberherr, “Thermal models for semiconductor<br />

device simulation,” High Temperature Electronics, pp. 25-28, July<br />

1999.<br />

[9] B. Swinnen et al, “3D integration by Cu-Cu thermo-compression<br />

bonding of extremely thinned bulk-Si die containing 10 μm pitch<br />

through-Si vias,” Int. Electron Devices Meeting, pp. 1-4, December<br />

2006.<br />

Fig. 10. Structure with Cu studs built in a 3 μm thick BCB with a pitch of 15<br />

μm. Top: Model of the structure. Bottom: temperature distribution.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 60<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Thermal Issues of Solar Irradiation Sensor<br />

B. Plesz, Á. Földváry, E. Bándy<br />

Budapest University of Technology and Economics, Department of Electron Devices<br />

Goldmann György tér 3<br />

1111 Budapest, Hungary<br />

Abstract- Nowadays the importance of solar cells and R&D in<br />

this field is steadily increasing. The most important parameter<br />

of a solar cell is the generated power, which can be enhanced by<br />

use of solar tracking. For a correct determination of the<br />

tracking system’s efficiency an irradiation sensor is necessary,<br />

because the irradiation from the sun changes constantly. This<br />

sensor device is placed on the tracker’s frame and transfers the<br />

intensity data to a monitoring system. The sensor developed for<br />

irradiation measurement must have accuracy and a reliable<br />

construction under outdoor use conditions (between the<br />

temperature values of -20°C and 80°C). The sensor is based on<br />

a photoelectric cell, and incorporates a read out circuit for<br />

providing steady short circuit conditions for the cell as well as<br />

for signal amplification. Thermal tests (HTS, LTOL) were<br />

accomplished in a climate chamber in the temperature range of<br />

-20 to 80 °C in steps of 10°C. To determine the fundamentals of<br />

the thermal dependence of the sensor cell spectral response<br />

measurements under varying temperatures were performed.<br />

I. INTRODUCTION<br />

The solar irradiation measurement is of importance to<br />

most engineering applications, which involve photovoltaic<br />

devices. Solar irradiation data is needed in fields like<br />

agriculture, astronomy, atmospheric science, climate change,<br />

health, hydrology, oceanography, photobiology and at last,<br />

but not to be ignored renewable energy.<br />

In our case it will be used for an accurate determination of<br />

the Heliotrex solar tracking system’s efficiency, which is<br />

necessary because the irradiation from the Sun changes<br />

constantly [1]. This sensor device will be placed on the<br />

tracker’s frame and transfers the intensity data to the<br />

monitoring system to evaluate the overall efficiency of the<br />

Heliotrex solar tracker over a longer period of time e.g. one<br />

year, so the sensor developed for irradiation measurement<br />

must have an adequate accuracy and a reliable construction<br />

under outdoor conditions.<br />

This paper describes the design of a low cost photoelectric<br />

irradiation sensor and its thermal characterization. The<br />

irradiation sensor developed by us compared to industrial<br />

ones is less expensive, has a simple construction and with<br />

help of an electronic circuit the output signal can be directly<br />

measured and digitalized. In the following paper we present<br />

the solar cell parameter’s temperature dependence [2][3] and<br />

the connection between the thermal behaviour of the short<br />

circuit current and solar cell technology used.<br />

II.<br />

THEORETICAL BACKGROUND<br />

Solar irradiation instrumentation used today can be of four<br />

basic types: thermo-mechanical, calorimetric, thermoelectric<br />

and photoelectric. Of these, thermoelectric and photoelectric<br />

are the most common sensors in use today. Photoelectric<br />

sensors normally use silicon solar cells and measure their<br />

short circuit current. Such detectors have the advantage of<br />

being simple in construction [4].<br />

The Heliotrex system’s irradiation sensor belongs to the<br />

photoelectric type. The construction of the photoelectric<br />

irradiation sensors is very simple, the sensor is a solar cell<br />

which must be used in short circuit condition, this way the<br />

light intensity can be converted directly to an electrical<br />

signal. In addition this circuit is supplemented with common<br />

signal processing electronics.<br />

Inaccuracy of photoelectric irradiation sensors has been<br />

due to errors introduced by systematic, time-of-day<br />

dependent variation in the solar spectrum, solar angle of<br />

incidence and operating temperature [5].<br />

In our particular case we’re not interested in the angle of<br />

incidence, because due to tracking the sensor will be<br />

continuously perpendicular to the sun. Most important factor<br />

is the temperature dependence which acts as a primary<br />

design parameter for our intensity sensor. Temperature<br />

changes influence both signal processing circuit and solar<br />

cell parameters.<br />

The solar cell’s open circuit voltage and short circuit<br />

current depends on the intensity of the illuminating light.<br />

Theoretically we could integrate the change of open circuit<br />

voltage for measurement of the irradiation, but the<br />

logarithmic dependence is more complex to evaluate and in<br />

addition the open circuit voltage is highly dependent on<br />

temperature, -2.2 mV/°C [2].<br />

Therefore, the device measures the short circuit of the cell<br />

in use, because it is linearly dependent on the intensity of<br />

light and the thermal effects are much smaller. In case of<br />

short circuit the current of the cell is given by (1),<br />

I<br />

sc<br />

= I<br />

ph<br />

æ<br />

- I ç<br />

0<br />

e<br />

ç<br />

è<br />

Rs×<br />

Isc<br />

m×<br />

UT<br />

ö Rs<br />

× I<br />

-1÷<br />

-<br />

÷<br />

ø<br />

R<br />

sh<br />

Where: I ph - photocurrent, I 0 - reverse saturation current,<br />

R s - series resistance, R sh - shunt resistance, U T - thermal<br />

voltage, m - diode ideality factor.<br />

For nearly ideal solar cells under short circuit conditions the<br />

following linear approach can be considered (2),<br />

sc<br />

(1)<br />

I<br />

sc<br />

» I ph<br />

(2)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 61<br />

ISBN: 978-2-35500-010-2


Thermal behaviour causes some variations in the short<br />

circuit current and thus can influence the precision of the<br />

irradiation measurement, this is the reason why these effects<br />

must be minimized. The temperature dependence of short<br />

circuit current arises from the variations of diffusion length,<br />

band gap, spectral behaviour and absorption with<br />

temperature [6].<br />

Relation between the diffusion length and diffusion<br />

coefficient is (3),<br />

L = D × τ<br />

(3)<br />

Where: L - diffusion length, D - diffusion coefficient,<br />

τ - life time. Relation between diffusion coefficient and<br />

carrier mobility µ, called Einstein’s relations (4),<br />

k × T<br />

D = × μ<br />

(4)<br />

q<br />

where µ and τ are also temperature dependent [6].<br />

The band gap width changes with the temperature [7], and<br />

can be expressed with the following term (5),<br />

2<br />

α × T<br />

Eg<br />

(T) = E<br />

g<br />

(0) -<br />

(5)<br />

T + β<br />

Where in Si: E g (0)= 1.166 eV, α= 4.73·10 -4 eV/K, β= 636 K.<br />

The absorption coefficient near the absorption edge can be<br />

given as a function of E g (6),<br />

( hν ) γ<br />

α µ -<br />

(6)<br />

Where: α - absorption coefficient, hν - photon energy,<br />

γ - constant. It can be seen, that due to the temperature<br />

dependence of E g absorption increases with rising<br />

temperature [8].<br />

III.<br />

E g<br />

INDUSTRIAL PHOTOELECTRIC SENSORS<br />

Several industrial irradiation sensor parameters were<br />

studied in order to investigate the desired range of<br />

temperature dependence for our sensor. All the examined<br />

industrial sensors had solar cell detectors and the result is<br />

concluded Table I.<br />

Sensor<br />

name<br />

EKO<br />

ML-020<br />

HydroLynx<br />

M4016<br />

IKS<br />

Photovoltaik<br />

ISET<br />

Kipp&Zonen<br />

SP-LITE2<br />

LI-COR<br />

LI 200SA<br />

TABLE I<br />

INDUSTRIAL IRRADIATION SENSOR DEVICE PARAMETERS<br />

Overall<br />

accuracy<br />

[%]<br />

Temp.<br />

dependence<br />

[%/°C]<br />

Operating<br />

temp.<br />

[°C]<br />

Sensitivity<br />

[1/W/m 2 ]<br />

±5 -10 to 50 7µV<br />

±5 0.15 -30 to 70 80µV<br />

±5 -25 to 80 100µV<br />

±5 0.15 -30 to 70 100µV<br />

±5 0.15 -40 to 65 90nA<br />

7-9 October 2009, Leuven, Belgium<br />

Overlooking Table I one can notice that the average<br />

temperature stability for these devices is 0.15 %/°C and the<br />

operating conditions are measured in the range of -30 to<br />

70°C. Many sensors also work with very low level signals<br />

and need additional signal transforming units to be able to<br />

use the signal in digital systems.<br />

IV.<br />

EXPERIMENTAL<br />

The device is planned for irradiation measurement. Our<br />

irradiation sensor system is composed of two main parts:<br />

1. Sensor cell<br />

2. Read-out circuit which is the signal processing circuit,<br />

with signal amplification and transmitting role.<br />

A. The sensor<br />

As sensor cell a self-made solar cell Fig. 1, incorporating a<br />

simple n-p single junction structure without BSF, surface<br />

texturing and special ARC coating is used. The raw material<br />

for the solar cell is a sc-Si wafer, wafer type: N + /N epitaxial.<br />

Wafer thickness is 330μm from which the epitaxial layer’s<br />

thickness is 10μm according to manufacturer’s specification,<br />

sheet resistance of the substrate and the epitaxial layer is<br />

ρ N+ = 0.006 Ωcm and ρ N = 5.2 – 7.8 Ωcm, respectively. The<br />

diffused P-layer was driven in to a depth of 1.5 µm in<br />

oxygen, during this a dark blue coloured SiO 2 ARC coating<br />

was formed. After the opening of the contact windows Ni<br />

evaporation was performed with a source of 99.99 Ni wire<br />

on hot filament. Contact Ni layer was thickened with<br />

electroplated Ni and Cu galvanic deposition solution to a<br />

thickness of 1 µm and 5 µm respectively. The multilayered<br />

metal contact and the N + substrate were adopted in order to<br />

reduce the serial resistance.<br />

Galvanized<br />

Cu layer<br />

Electroless<br />

Sn layer<br />

B. The circuit<br />

P<br />

N<br />

N+<br />

Galvanized<br />

Ni layer<br />

Evaporated<br />

Ni layer<br />

ARC layer<br />

Fig. 1. Cross-section of self-made solar cell<br />

Read-out circuit is responsible for measurement and<br />

conversion of the sensor cell’s short circuit current into a<br />

voltage signal. The device is designed to work at 5V power,<br />

with a maximum measurement range of 1350 W/m 2 , thus<br />

can be incorporated into digital systems. On the output of the<br />

measuring device a voltage value appears corresponding to<br />

the instantaneous irradiance, in case of 1000W/m 2 the output<br />

voltage U out = 3V, so the sensitivity is 3mV/W/m 2 . At the<br />

selection and testing of the components used for the device,<br />

due to outdoor use of the sensor, the thermal effects must be<br />

regarded. This unit consists of four parts Fig. 2.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 62<br />

ISBN: 978-2-35500-010-2


Solar cell<br />

Control unit<br />

Reference<br />

voltage<br />

Final stage<br />

amplifier<br />

Calibration<br />

unit<br />

Fig. 2. Block diagram of the read-out circuit<br />

7-9 October 2009, Leuven, Belgium<br />

which is registered as the changes in the irradiation sensor’s<br />

output signal according to temperature. We’ve conducted<br />

separate analysis to find out the thermal behaviour of our<br />

sensor cell and the circuit, these test were also applied to an<br />

industrial solar cell.<br />

While investigating the circuit the solar cell was replaced<br />

with a current source. This way the changes caused by the<br />

solar cell could be ruled out and the circuit’s effective<br />

temperature dependence could be determined. This<br />

parameter can be defined if we measure the circuit’s output<br />

voltage as a function of temperature Fig.4.<br />

The most important active component is the control unit.<br />

This unit consists of two parts, a voltage generator and a<br />

regulator circuit Fig. 3. Compared to industrial solutions,<br />

where small shunt resistors are used to transform the short<br />

circuit current into a voltage signal, an active regulation is<br />

used, which always ensures proper short circuit conditions.<br />

Precise short circuit is adjusted by a reference voltage value.<br />

Climate chamber<br />

Temp.<br />

Read-out<br />

circuit<br />

current<br />

source<br />

0.0V<br />

W<br />

V A<br />

V A<br />

OFF<br />

V W<br />

A<br />

CO M<br />

U ref<br />

U ref<br />

I PV cell<br />

= I sc<br />

U PV cell<br />

= 0V<br />

Fig. 4. Experimental setup for determination of the temperature<br />

dependence of the circuit<br />

Investigation of the solar cell is performed in a similar<br />

manner. The photovoltaic cell is connected to the electronics<br />

and if illuminated a measurement voltage appears on the<br />

output of the circuit Fig. 5. As light source a Tungsram<br />

EXN-WFL 12V 50W halogen incandescent lamp with a<br />

colour temperature of 3000K was used.<br />

Climate chamber<br />

U ref<br />

U m<br />

→ Final stage amplifier<br />

Temp.<br />

PV<br />

cell<br />

V<br />

W<br />

0.0V<br />

A<br />

Fig. 3. Electrical diagram of the control unit<br />

The voltage created over a measurement resistor (R 5 ) by<br />

the short circuit current is amplified by the final stage<br />

amplifier, because higher voltage levels can be more<br />

precisely digitalized by commonly available devices.<br />

Verification of the measuring device can be carried out with<br />

the calibration unit, which sets the voltage of the final stage<br />

amplifier.<br />

C. Thermal testing<br />

Both the sensor cell and the read-out circuit can be<br />

affected by changing temperature. Similarly to the industrial<br />

sensors the operation will be studied using different<br />

methods. Thermal tests were performed in a climate chamber<br />

in the temperature range -20 to 80 °C in steps of 10°C.<br />

In order to determine the reliability of the sensor device<br />

accelerated life tests were carried out. Thermal testing begins<br />

with the determination of the temperature dependence,<br />

Read-out<br />

circuit<br />

Fig. 5. Experimental setup for determination of the temperature<br />

dependence of the solar cell<br />

The second test that was carried out determined the sensor<br />

cell’s spectral response as a function of temperature. In this<br />

test the cell while fixed to a thermostated copper bulk was<br />

illuminated through 8 narrow bandpass filters. The bulk’s<br />

temperature (and solar cell’s temperature) was varied in the<br />

range of -10°C to 80°C with a Cole-Palmer Polystat 12100-<br />

25 type thermostat. At given temperature values the short<br />

circuit current of the sensor cell is measured for each filter.<br />

This way the spectral response can be determined at different<br />

temperatures.<br />

Third HTS (High Temperature Store) according to Mil-<br />

Std-883 Method 1008 is performed to determine the effect<br />

V<br />

A<br />

OFF<br />

V W<br />

CO M<br />

A<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 63<br />

ISBN: 978-2-35500-010-2


on devices of long-term storage at elevated temperatures<br />

without any electrical stresses applied. Purpose of<br />

Stabilization bake is usually to serve as part of a screening<br />

sequence or as a preconditioning treatment prior to the<br />

conduct of other tests. Test condition applied to both sensor<br />

cell and read-out circuit is condition A, specifically 75°C for<br />

24 hours minimum [9].<br />

Last the LTOL (Low Temperature Operating Life) test<br />

was carried out in order to determine the reliability of the<br />

device under low temperature conditions over an extended<br />

period of time. It consists of subjecting the parts to a<br />

specified bias or electrical stressing, for a specified amount<br />

of time, and at a specified low temperature. LTOL test is<br />

documented by JEDEC in a single standards spec, JEDEC<br />

JESD22-A108. Test condition applied to both solar sensor<br />

and read-out circuit is -20 °C for 24 hours minimum [10].<br />

7-9 October 2009, Leuven, Belgium<br />

For the self-made sensor cell we’ve experienced an<br />

average change of 0.24 %/°C in output voltage of the<br />

irradiation sensor (short circuit current of the sensor cell)<br />

Fig. 7. Compared to industrial sensors these values are<br />

almost two times higher (0.15 %/°C), for this reason<br />

comparing spectral response and temperature dependence<br />

measurements with an industrial solar cell (manufactured by<br />

Siemens) were performed.<br />

Observations in case of industrial cell’s temperature<br />

dependence test revealed an average change of 0.11 %/°C in<br />

output voltage Fig. 7. These values correspond to the<br />

parameters given by the industrial irradiation measurement<br />

devices. Based on the measurements performed we could<br />

conclude that the cell’s short circuit current changes not only<br />

according to temperature, but is also influenced by the<br />

structure of the solar cell.<br />

V. DISCUSSION<br />

The circuit’s temperature dependence was studied first.<br />

The reference point is a set output voltage value at T=25°C,<br />

changes that occur will be compared to this value.<br />

5<br />

T=-10°C T=0°C T=10°C T=25°C<br />

T=40°C T=60°C T=80°C<br />

3005<br />

4<br />

Output voltage [mV]<br />

3000<br />

2995<br />

2990<br />

2985<br />

2980<br />

2975<br />

2970<br />

-20 -10 0,2 9,2 20 25 30 40 49,6 59,2 70 80<br />

Temperature [°C]<br />

Short circuit current [mA]<br />

3<br />

2<br />

1<br />

0<br />

392 465 550 622,5 705 790 871,5 1023<br />

Wavelength [nm]<br />

Fig. 6. Temperature dependence of read-out circuit<br />

This resulted in an average output voltage decrease of<br />

0.005 %/°C with temperature Fig. 6.<br />

Afterwards the sensor cell’s temperature dependence was<br />

investigated.<br />

12<br />

Fig. 8. Spectral response of self-made solar cell<br />

T=-10°C T=0°C T=10°C T=25°C<br />

T=40°C T=60°C T=80°C<br />

Uout, Siemens cell [mV]<br />

Uout, our cell [mV]<br />

10<br />

Output voltage [mV]<br />

1800<br />

1700<br />

1600<br />

1500<br />

1400<br />

1300<br />

1200<br />

-20 -10 0 10,2 20 25,5 30 40,6 50 60,5 70,7 80<br />

Temperature [°C]<br />

Short circuit current [mA]<br />

8<br />

6<br />

4<br />

2<br />

0<br />

392 465 550 622,5 705 790 871,5 1023<br />

Wavelength [nm]<br />

Fig. 9. Spectral response of Siemens cell<br />

Fig. 7. Temperature dependence of self-made and Siemens solar cell<br />

The sensor cell made by us is because of the N + substrate,<br />

incorporated to ensure low series resistance, only sensitive<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 64<br />

ISBN: 978-2-35500-010-2


up to the spectral region of ca. 900 nm. Compared to this the<br />

industrial cell has a different structure (P type wafer, N-<br />

diffusion and BSF) and is more sensitive in the IR-domain,<br />

because the minority charge carriers generated at the backend<br />

of the solar cell can be collected if within the diffusion<br />

length.<br />

As Fig. 8 and Fig. 9 indicate, the spectral response of the<br />

sensor cell is more influenced by temperature change, than<br />

in the case of the Siemens cell. Since in both cases the<br />

diffusion lengths for the minority carriers are higher than the<br />

width of the effective charge collection regions, the effects<br />

from temperature dependence of the diffusion length are<br />

neglectable. Thus most of the current increase is caused by<br />

the changes in the absorption spectrum. The wide P-region<br />

in the Siemens cell absorbs already most of the incoming<br />

light, while the shallow N region (ca. 10 um) can absorb<br />

only a small part of the IR-region, where the absorption<br />

coefficient is low. With rising temperature the absorption<br />

coefficient increases, which leads to higher absorption in the<br />

near IR-region, and thus a higher short circuit current, than<br />

in case of the Siemens cell, where the increase can be seen<br />

only in the regions of beyond 1000 nm.<br />

Result of the HTS concluded that electronics of the sensor<br />

device will not be affected by storage at high temperature. In<br />

case of the solar cell we’ve experienced a 0.12% change,<br />

which can originate in the illumination imprecision. With a<br />

good approximation we can state that the sensor cell’s HTS<br />

test did not cause any change in the output voltage, contrary<br />

to the preliminary tests which revealed a decrease of the<br />

short circuit current. The reason could be the defective<br />

contact metal layer deposition, where the resistance of the<br />

metal-semiconductor interface is increased or because there<br />

is a difference between the thermal expansion coefficients<br />

causing the metal layers to peel off.<br />

After executing the LTOL test we can deduce that the<br />

electronic circuit will not be affected by low temperature<br />

operation, the measured deviation is 0.06%. The sensor cell<br />

after the LTOL test produced a 0.27% change, which as in<br />

the previous case can originate in the illumination<br />

imprecision. With a good approximation we can conclude<br />

that after this test the sensor cell’s output did not change.<br />

7-9 October 2009, Leuven, Belgium<br />

concluded, that our solution which uses an N + layer in order<br />

to reduce the serial resistance, is not an appropriate<br />

approach, because its higher sensitivity of the spectral<br />

response to temperature variations results in a higher<br />

temperature dependence. To minimize temperature<br />

dependence solar cells with nearly ideal spectral response<br />

should be used. From the spectral response of the sensor cell<br />

it can be also seen that the future thin film crystalline silicon<br />

solar cells favourized by some manufacturers might suffer<br />

from the same temperature behaviour.<br />

ACKNOWLEDGMENT<br />

This work was supported by the project PVMET-08 of the<br />

Hungarian Technology Program.<br />

REFERENCES<br />

[1] P. Sági, B. Plesz, and V. Timár-Horváth, “Building the Heliotrex<br />

Solar Tracking System”, Procs. of IWTPV’08 Prague, pp. 101-106,<br />

March 2008.<br />

[2] Priyanka Singh, S.N. Singh, M. Lal, and M. Husain, “Temperature<br />

dependence of I–V characteristics and performance parameters of<br />

silicon solar cell”, Elsevier Solar Energy Materials & Solar Cells,<br />

vol. 92, pp. 1611-1616, August 2008.<br />

[3] M.A. Mosalam Shaltouta, M.M. El-Nicklawy, A.F. Hassan,<br />

U.A. Rahoma, M. Sabry, “The temperature dependence of the<br />

spectral and efficiency behavior of Si solar cell under low<br />

concentrated solar radiation”, Elsevier Renewable Energy, vol. 21,<br />

pp. 445-458, November 2000.<br />

[4] D. Yogi Goswami, Jan F. Kreider, “Principles of solar engineering”,<br />

Taylor & Francis, 2000, ISBN 1560327146, pp. 67.<br />

[5] D.L. King, W.E. Boyson, B.R. Hansen, and W.I. Bower, “Improved<br />

accuracy for low-cost solar irradiance sensors”, Sandia National<br />

Laboratories, Albuquerque, New Mexico, USA, Presented at the<br />

2nd World Conference and Exhibition on Photovoltaic Solar Energy<br />

Conversion, Vienna, July 1998.<br />

[6] E. Radziemska, “The effect of temperature on the power drop in<br />

crystalline silicon solar cells”, Elsevier Renewable Energy, vol. 28,<br />

pp. 1-12, January 2002.<br />

[7] T. Markvart , L. Castaner, “Practical Handbook of Photovoltaics:<br />

Fundamentals and Applications”, Elsevier Science, ISBN<br />

1856173909, pp. 99, October 2003.<br />

[8] S. M. Sze, “Physics of Semiconductor Devices”, WileyBlackwell,<br />

3 rd ed., ISBN 0471143235, pp. 52 - 53, November 2006.<br />

[9] MIL-STD-883E, “Test method standard microcircuits”, 1996.<br />

[10] JESD22-A108, “Temperature, Bias, and Operating Life”, 2000.<br />

VI.<br />

CONCLUSION<br />

In this paper a solar irradiation sensor for usage in solar<br />

tracking systems was presented. The sensor is based on a<br />

photoelectric cell, and incorporates a read-out circuitry for<br />

providing steady short circuit conditions for the cell as well<br />

as for signal amplification.<br />

Read-out circuit’s electronics has a stable thermal<br />

behaviour. After performing HTS and LTOL accelerated life<br />

tests the output signal value didn’t change essentially. On the<br />

other hand the sensor cell has varied it’s short circuit current<br />

when subjected to thermal tests.<br />

These procedures revealed that mainly because of its<br />

structure the sensor cell has a higher temperature<br />

dependence than the industrial cell it was compared to<br />

(Siemens). From spectral response measurements it can be<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 65<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Thermal Simulation and Package Investigation of<br />

Wireless Gas Sensors Microsystems<br />

Andrea Paoli 1 , Lucia Seminara 2 , Daniele D. Caviglia 1 , Alessandro Garibbo 2 , and Maurizio Valle 1<br />

1 Department of Biophysical and Electronic Engineering- University of Genoa,<br />

Via Opera Pia 11/a, 16145 Genoa, Italy<br />

2 SELEX Communications S.p.A. - Via Pieragostini 80, 16151 Genoa, Italy<br />

Abstract - Gas sensor arrays based on metal oxides<br />

operating at high temperature are commonly used in<br />

many application fields. They can operate on different<br />

principles and each sensor may show very different<br />

responses to the individual gases in the environment.<br />

Data coming from the array can be merged for reliable<br />

gas detection. One point which is common to the<br />

different sensors types is that the atmosphere to be<br />

sensed must flow on or through the sensor itself. This<br />

work investigates air flows in gas sensor packages, and<br />

proposes a new package to improve gas exchange<br />

through natural convection, aiming to allow the gas<br />

detection in the case of very small gas concentrations.<br />

The study is based on multiphysics Finite Element<br />

Method simulations using a commercial software tool.<br />

I. INTRODUCTION<br />

Gas sensors can be used in several applications like<br />

standard fire alarm, Wireless Sensor Networks and<br />

monitoring environmental conditions [7] [8]. As chemical<br />

gas sensors are based on chemical reactions between the<br />

sensor itself and the molecules of the analyzed gas, the<br />

detection of gas is possible only if the desired molecule<br />

comes in contact with the sensing material [1]. This is why<br />

the package of a gas sensor needs apertures to let the gases<br />

enter and react with the sensing elements. However, to<br />

avoid damages to the sensor, it is necessary to eliminate the<br />

particles and relatively big objects by filtering the air<br />

entering into the sensor.<br />

The transport of a passive tracer in the atmosphere may<br />

occur either through molecular diffusion from the higher<br />

concentration zone to the lower concentration zone and/or by<br />

advection by the air flow. The latter process is much faster<br />

than diffusion and needs to be optimized to achieve a higher<br />

sensor’s efficiency by increasing the probability of gas<br />

molecules impact on the sensor’s surface per unit time.<br />

Chemical gas sensors work at high temperature (typically<br />

around 300-400°C) which triggers a natural convection flow<br />

in the atmosphere surrounding the sensing element. Though<br />

such a flow can help transporting the substance to be<br />

detected by interacting with the outside air through the<br />

package holes, however it is necessary that the natural<br />

convection forces the air in the sensor to be expelled and<br />

allows new air to come in. This process of air exchange is<br />

strongly related to the shape and position of package<br />

apertures and to the orientation of the package.<br />

Fig. 1. Standard TO8 package:<br />

a) base with the suspended sensors array; b) cap with hole and grid<br />

on top<br />

The classic TO8 package used for gas sensors is a metal<br />

package made by a metal base with some pins and a<br />

cylindrical metal cap. The diameter and the height of TO8<br />

are about 13mm. The cap on top has a hole of 7mm<br />

diameter and a grid to allow gas propagation in the package.<br />

The grid does not affect significantly the gas diffusion<br />

process but creates a relatively strong resistance to air flows<br />

compared with an open hole.<br />

The reference sensor used in this work is a nanostructured<br />

material based sensor deposited on an alumina substrate.<br />

The alumina is about 6mm x 6mm x 300µm and has metal<br />

traces on both sides. On one side a platinum heater is placed,<br />

while on the other side a platinum thermometer is located<br />

along with four fingered contact areas where different metal<br />

oxides are deposited by a Pulsed Microplasma nanoparticles<br />

source [5] [6]. Metal oxides react in different ways with<br />

various gases, reducing or increasing their electrical<br />

conductance, which is measured and processed in order to<br />

ascertain whether some gases are present in the atmosphere<br />

and measure their concentrations [2] [3] [4]. This sensor<br />

works at about 600K and this temperature has been<br />

employed for investigation in this work. To avoid problems<br />

due to different thermal expansion of various materials and<br />

to simultaneously provide a suitable thermal insulation<br />

preventing excessive energy consumption in the heater, the<br />

alumina substrate is suspended in the package by bonding<br />

wires.<br />

II. SIMULATION METHOD<br />

As explained earlier, this work aims at studying natural<br />

convection within the package with the goal to propose a<br />

new package with optimized apertures that improve the air<br />

exchange in all orientations. An optimization of the air<br />

exchange between the environment and the atmosphere<br />

inside the cap becomes necessary for being able to detect<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 66<br />

ISBN: 978-2-35500-010-2


very small gas concentrations (parts per million or lower).<br />

As a matter of fact, if gas concentrations are very low<br />

sensors risk to detect nothing for a long period of time.<br />

Optimizing the air flow through package apertures should<br />

provide higher sensor’s efficiency by helping the few gas<br />

molecules in the atmosphere to reach the sensor’s surface<br />

and to be detected.<br />

Multiphysics finite elements (FE) simulations are used to<br />

model the air flow within the package, and the main<br />

parameters considered to evaluate the performance of the<br />

package are the average air flow entering and exiting the<br />

package. Simulations are carried out using Comsol which is<br />

a commercial multiphysics simulator with an extensive<br />

library of predefined equations and material properties.<br />

The main problem with FE simulations of natural<br />

convection is that it is difficult to get convergence. For<br />

convergence it is better to have a homogeneous meshing on<br />

the whole simulation domain. The mesh must be quite fine<br />

with mild density to adapt to the smaller structure and to<br />

avoid an excessively large number of variables. Some<br />

approximations are needed to reduce the geometry to a twodimensional<br />

domain as a three dimensional model would be<br />

computationally too heavy for a common workstation. The<br />

two-dimensional approximation is achieved by simulating<br />

only a slice of the system and then extend results to the<br />

whole system using symmetry. Two positions of the<br />

package, with pins on bottom and with pins on top, have<br />

been analyzed. In the analyzed sensor, the alumina chip is<br />

suspended by the bonding wires to avoid problems due to<br />

heat sinking and thermal expansion of different materials.<br />

Bonding wires have been neglected in simulations because<br />

their size is very thin compared with sensor and package.<br />

The model includes the alumina, the package with a slice of<br />

the grid, and a volume of air located inside and outside the<br />

package. The heater power is simulated by a simple<br />

mathematical relation that expresses the power dissipated<br />

point by point within a thin portion of the alumina block.<br />

The temperature control is mathematically performed<br />

comparing the desired temperature with the average<br />

temperature recorded from alumina side.<br />

Due to convergence issues, it is necessary to run transient<br />

simulations, evaluate it manually and obtain a stationary<br />

solution when the initial transient has been exhausted and the<br />

distribution of temperature and air velocity is constant. It is<br />

important in this respect to note that a stationary solution is<br />

to be expected, as the Rayleigh number R a , i.e. the<br />

dimensionless parameter controlling thermal convection,<br />

attains relatively low values. More precisely, for an infinite<br />

layer of fluid of thickness D, characterized by thermal<br />

diffusivity k, kinematic viscosity ν, coefficient of thermal<br />

expansion α, the Rayleigh number R a reads:<br />

R a = g α D 3 (T 1 - T 2 ) / k ν , (1)<br />

where T 1 is the temperature of the lower hot boundary and T 2<br />

is the temperature of the upper cold boundary. Though the<br />

geometry of the present configuration differs from an infinite<br />

layer due to the presence of the confining cylinder, however,<br />

the use of the above definition leads to a simple estimate of<br />

R a , which may provide some qualitative suggestion on the<br />

nature of thermal convection. In fact, the estimated values<br />

7-9 October 2009, Leuven, Belgium<br />

of R a range about few tens of thousands. It is then reasonable<br />

to expect that convection keeps laminar and convection cells<br />

are steady. On the other hand, one may estimate a Reynolds<br />

number<br />

R e (≡U D / ν) (2)<br />

with U scale for the flow velocity. As discussed below, U<br />

does not exceed few cm/s, hence R e ranges about few tens,<br />

an estimate which confirms the laminar picture.<br />

The results also strongly depend on external air flows.<br />

However, such flows are neglected here, as they are<br />

unpredictable. If the sensor is placed inside a cabinet, as<br />

done most of the times, external air flows are not very strong<br />

and in that event the model presented here approximates the<br />

real situation very well.<br />

III. SIMULATION RESULTS<br />

First set of simulations, modeling the classical TO8 sensor<br />

package without modifications, shows that the air flow is<br />

very low, when the package is vertical “face down”<br />

compared with the package “face up”. In fact, if the hole in<br />

the package is on the bottom side only and the heater is in<br />

the upper part, the hot air stands still in the upper part of the<br />

package where the heater continues to heat it and hence no<br />

free convection is possible. In the simulation results, shown<br />

in Fig. 3 and Fig. 4, the color on the surface is proportional<br />

to the temperature point by point and a grid of arrows<br />

visualizes the velocity field in the air domain. It is then clear<br />

that, for the package with the grid on top, an air exchange is<br />

possible but strongly limited by the presence of the filter<br />

grid, while for the solution with the grid on bottom, the air<br />

starts to circulate in a small part of the package, without a<br />

real exchange with the external environment. Values of air<br />

speed near the hole are about 4·10 -2 m/s for the package with<br />

hole up, and 4·10 -5 m/s for the package with hole at bottom.<br />

IV. PROPOSED MODIFICATION<br />

Following the results presented earlier, a modified<br />

package – aimed at enhancing air circulation is proposed.<br />

This is obtained by inserting 1mm high windows on the<br />

vertical walls adjacent to the sensor (see Fig. 2).<br />

Simulations with new package show that in “face up”<br />

configuration the air flow is almost the same as that in the<br />

old package, but with much less vortices. Moreover, most of<br />

Fig. 2. Proposed new package (half section)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 67<br />

ISBN: 978-2-35500-010-2


Fig. 3. Standard package simulation results: Arrow and color<br />

scale indicate air speed direction and value (m/s)<br />

7-9 October 2009, Leuven, Belgium<br />

Average<br />

inlet velocity<br />

[m/s]<br />

Average<br />

outlet<br />

velocity<br />

[m/s]<br />

Average<br />

exchange<br />

flow [m 3 /s]<br />

Standard<br />

package<br />

face up<br />

TABLE I<br />

RESULTS SUMMARY<br />

Standard<br />

package<br />

face<br />

down<br />

Modified<br />

package<br />

face up<br />

Modified<br />

package<br />

face<br />

down<br />

4·10 -2 4·10 -5 5·10 -2 5·10 -3<br />

4·10 -2 4·10 -5 6·10 -2 2·10 -2<br />

6·10 -7 6·10 -10 1·10 -6 2·10 -7<br />

the new air entering the package flows along the sensor<br />

surface and thus provides an optimal condition for the<br />

sensing process.<br />

A major improvement is also achieved with the package in<br />

the “face down” orientation as, in that case, the windows are<br />

in the upper part - where hot air accumulates. The windows<br />

allow the air to flow out of the package, enabling new air<br />

from the circular hole on the bottom face to come in. This<br />

allows the flow to reach the same values as that of “face up”<br />

orientation, with an about 1000 times increment.<br />

Table I summarizes the estimated air speed and the<br />

exchange flow for the two examined orientations. It can be<br />

noticed that the modified package improves the air exchange<br />

and consequently the efficiency of the sensor. Furthermore,<br />

the difference between air exchanges in different positions is<br />

reduced and the sensor, in the proposed new package, seems<br />

not to have a preferred orientation for best performance.<br />

At this point some comments are to be made about the<br />

accuracy of the numerical predictions.<br />

It would be important to show that the presented solutions<br />

are mesh independent. Some preliminary tests have been<br />

made by increasing the mesh size to be able to compare the<br />

velocity fields in different mesh cases. Some inconsistencies<br />

are found which show that the mesh with “larger” size is not<br />

yet appropriate as important details are missed. When trying<br />

to reduce the mesh size, convergence problems occur. The<br />

problem under analysis is computationally too heavy to be<br />

solved with a common workstation and it would require<br />

more powerful tools. This critical point is under analysis and<br />

some confirmations are expected in the next future.<br />

Fig. 4. Proposed package simulation results: Arrow and color scale<br />

indicate air speed direction and value in m/s<br />

V. FINAL RESULTS<br />

A new package has been proposed and first results suggest<br />

that it is likely to improve the efficiency of the sensor. The<br />

proposed package may also help in applications that require<br />

a specific orientation of the sensor which is not optimal for<br />

sensing efficiency. For instance, in a common smoke<br />

detection system the sensing units are attached to the roof of<br />

the room and sensors need to be placed with the pin side on<br />

top.<br />

Future developments will include simulations with the<br />

same package in a horizontal position.<br />

ACKNOWLEDGMENT<br />

Authors thank Dr. Ravinder S. Dahiya for the kind<br />

revision.<br />

REFERENCES<br />

[1] R. Gmür, J. Goschnick, T. Hocker, H. Schwarzenbach<br />

and M. Sommer, “Impact of sensor packaging on<br />

analytical performance and power consumption of<br />

metal oxide based gas sensor microarrays”, Sensors<br />

and Actuators B: Chemical, vol 127, Issue 1, 20 Oct.<br />

2007, Pages 107-111, Special Issue: Eurosensors XX<br />

The 20th European Conference on Solid-State<br />

Transducers, the 20th European conference on Solid-<br />

State Transducers.<br />

[2] Grassi, M. Malcovati, P. Baschirotto, A., “Flexible<br />

high-accuracy wide-range gas sensor interface for<br />

portable environmental nosing purpose”, Proc. of<br />

IEEE International Symposium on Circuits and<br />

Systems, 2005. ISCAS 2005. Kobe Japan, 23-26 May<br />

2005, vol. 6, pp: 5385- 5388.<br />

[3] A. Chaiyboun, R. Traute, T. Haas, O. Kiesewetter and<br />

T. Doll, “A logarithmic multi-parameter model using<br />

gas sensor main and cross sensitivities to estimate gas<br />

concentrations in a gas mixture for SnO2 gas sensors”,<br />

Sensors and Actuators B: Chemical - vol 123, Issue 2<br />

(2007), Pages 1064-1070<br />

[4] Hanns-Erik Endres et al. “Improvement in signal<br />

evaluation methods for semiconductor gas sensors”,<br />

Sensors and Actuators B 26-27 (1995), pp. 267-270.<br />

[5] E. Barborini, P. Piseri and P. Milani, “A pulsed<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 68<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

microplasma source of high intensity supersonic<br />

carbon cluster beams,” J. Physics D: Appl. Phys, vol.<br />

32 , pp. L105-L109 (1999).<br />

[6] K Wegner, P Piseri, H Vahedi Tafreshi and P. Milani,<br />

“Cluster beam deposition: a tool for nanoscale science<br />

and technology”, J. Phys. D: Appl. Phys. 2006 – 39,<br />

R439-R459<br />

[7] Graf, M. Frey, U. Reichel, P. Taschini, S. Barsan,<br />

N. Weimar, U. Hierlemann, A., “Monitoring of<br />

environmentally monolithic metal-oxide relevant<br />

gases by a digital microsensor array”, Proceedings of<br />

IEEE Sensors 2004, Vienna, Austria, 24-27 Oct. 2004,<br />

IEEE Press, pp: 776- 779 vol.2<br />

[8] Dae-Sik Lee, Sang-Woo Ban, Minho Lee, and Duk-<br />

Dong Lee, “Micro Gas Sensor Array With Neural<br />

Network for Recognizing Combustible Leakage<br />

Gases”, IEEE SENSORS JOURNAL, vol. 5, n°. 3, Jun.<br />

2005, pp. 530-536.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 69<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Electro-thermal simulation: a new Subsystem<br />

in Mentor Graphics IC Design Flow<br />

K.O. Petrosjanc, N.I. Ryabov, I.A. Kharitonov, P.A. Kozynko<br />

Electronics and Electrical Engineering Dept.<br />

Moscow State Institute of Electronics and Mathematics<br />

Mentor Graphics Training Center – MIEM<br />

Moscow, Russia, eande@miem.edu.ru, +7 (495) 235-50-42<br />

Abstract-New electro-thermal simulation subsystem was<br />

introduced into Mentor Graphics IC Design flow. The<br />

subsystem incorporates IC thermal simulation tool “Overheat”,<br />

dispatcher “ETh SimCoupler” as the simulation manager and<br />

layout converter “ETh Model Generator”. Application example<br />

of power voltage regulator IC simulation is described. A good<br />

agreement between simulated and IR-camera measured<br />

temperature pictures is achieved.<br />

Index Terms- Electro-thermal simulation, IC, CAD.<br />

I. INTRODUCTION<br />

Constant decreasing of IC element dimensions, ever<br />

increasing packing density of ICs, combining of digital and<br />

analog blocks, integration of elements with low and high<br />

power consumption on the same chip lead to a number of<br />

complex problems connected with high working<br />

temperatures and mutual thermal influence of IC elements as<br />

a result of increasing power density.<br />

Elements of semiconductor IC is known to be sensitive to<br />

temperature changes. For example, temperature rise of 1 ºC<br />

leads to 2 mV fall in forward biased p-n junction. And in the<br />

case of temperature rise of 10 ºC the current of reverse<br />

biased p-n junction is doubled.<br />

Power dissipation of some IC and VLSI types may be<br />

10..100 W, which leads to significant temperature rise of the<br />

chip. For industrial and domestic smart power ICs the area of<br />

power BJT, DMOST, IGBT output devices takes 30-70% of<br />

the chip size. For instance, Intel Xeon series 7100, produced<br />

by deep submicron 65 nm technology, dissipates 150 Watt.<br />

Its case and junction temperatures are 70 ºC and 90 ºC. It is<br />

known that temperature of Si ICs should not be higher<br />

120-150 ºC to operate properly.<br />

For analog and mixed type ICs the temperature gradients<br />

on the chip are as much critical as the temperatures of<br />

components. For example, temperature difference of<br />

transistors, connected to input branches of operational<br />

amplifiers, comparators, high precision DAC/ADCs<br />

normally should not be more than 0.1-0.3 ºC.<br />

Therefore presence of “hot spots” (as places of local<br />

overheat) leads to significant changes of electrical<br />

parameters of element or element groups, which results in<br />

chip performance degradation. High temperature impacts not<br />

only electrical parameters of ICs, but they force undesirable<br />

physical-chemical processes in semiconductor material and<br />

IC construction as well. These may lead finally to the fault<br />

of IC.<br />

Factors mentioned above make IC developers to hardly<br />

constrain working temperatures of elements, introduce<br />

thermal protection circuits and improve cooling.<br />

As conclusion, electro-thermal simulation is one of the<br />

most important stages of modern ICs and VLSIs design. This<br />

simulation let to choose optimal electrical and thermal<br />

modes for different elements, develop IC layout and<br />

construct, resulting in: 1) minimal junction temperatures of<br />

devices; 2) minimal mutual influence of elements through<br />

the bulk; 3) better conditions of thermal transfer to case, heat<br />

sink and environment; 4) minimal thermal gradients<br />

excluding thermal strain of materials.<br />

There are two major approaches to doing electrothermal<br />

simulation.<br />

In the first approach (called – relaxation method) two<br />

independent simulators, one thermal simulator, solving<br />

numerically heat conduction problem, and one electrical<br />

circuit simulator (SPICE or its modifications) are used in<br />

iterative loop to deliver the solution of the given<br />

electrothermal problem [1], [2]. The advantages are: the high<br />

accuracy and visualization; the on-chip or on-board steadystate<br />

2D or 3D temperature profiles directly identify hot<br />

spots and „poor” components; the ability to move different<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 70<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

components (heat sources) on the chip/board layout to<br />

correct the temperature map. The drawbacks are: in case of<br />

very strongly couled electrothermal problem, the solution<br />

cannot achieve convergence; the approach is computational<br />

time consuming and limited by the very large number of<br />

mesh points due to numerical solution methods.<br />

In the second approach (called – direct method) the<br />

thermal subsystem is represented by an electrical model<br />

network and an electrical solver (SPICE, SPECTRE, ELDO)<br />

performs simultaneously co-simulation of both electrical and<br />

thermal subsystems [3]. The advantages are: capability to<br />

achive a fast thermal solution even in case of strongly<br />

coupled electrothermal problem; more simpler preparation<br />

and implementation of design task. The drawbacks are: less<br />

accurate thermal solution in the form of the set of average<br />

temperatures of components; poor ability to change the<br />

placement of components to improve the thermal condition.<br />

Earlier SISSI electro-thermal simulation software package<br />

was reported to be included in Cadence IC Design Flow [4],<br />

[5]. Mentor Graphics lacks thermal modeling packages in its<br />

IC Design Flow, so its capabilities are limited, particularly in<br />

development of power analog and mixed type ICs for<br />

industrial control, automotive electronics, power regulators<br />

and others.<br />

This paper describes fully automated subsystem for<br />

electro-thermal simulation of ICs introduced into Mentor<br />

Graphics IC Design Flow (IC Station).<br />

II.<br />

ELECTRO-THERMAL SIMULATION SUBSYSTEM<br />

Relaxation method using simulator coupling approach was<br />

chosen to introduce electro-thermal simulation into Mentor<br />

Graphics IC Station. Electrical simulation is carried out by<br />

Eldo – Mentor Graphics SPICE analog. Thermal simulation<br />

is carried out by quasi-3d computational IC thermal<br />

simulator named Overheat [6]. These simulators are coupled<br />

through number of sequential iterations. First element<br />

temperatures approximation is used in Eldo to compute IC<br />

electrical behavior and powers dissipated by the elements.<br />

Then these powers are used by Overheat to compute new<br />

temperatures of elements, which differ from firstly<br />

approximated. New temperatures are used by Eldo again to<br />

compute powers for Overheat etc. These iterations are<br />

repeated until thermal and electrical behaviors become stable<br />

from iteration to iteration.<br />

Developed flow chart of electro-thermal simulation is<br />

shown in fig. 1. New blocks introduced in Mentor Graphics<br />

IC Station and their links are shown in bold lines.<br />

Overheat<br />

Thermal<br />

simulation<br />

4<br />

1<br />

IC Station<br />

Layout<br />

Design<br />

ETh Model Generator<br />

Layout into thermal<br />

IC model translation<br />

3<br />

ETh SimCoupler<br />

- electro-thermal<br />

simulation<br />

management;<br />

- data convertion<br />

and transfer.<br />

Eldo<br />

Electrical<br />

simulation<br />

Fig. 1. Flow chart of electro-thermal simulation<br />

in Mentor Graphics IC Station.<br />

“Overheat” – quasi-3d computational thermal simulator<br />

for monolithic ICs. The chip, fixed in the package is<br />

represented as multi-layer structure (fig. 2); its layers have<br />

different heat characteristics. The heat sources are circuit<br />

elements (transistors, resistors, etc.), the heat dissipates from<br />

package surface by convection into environment and through<br />

lead-out wire.<br />

Fig. 2. Model of multi-layer IC structure used in Overheat.<br />

The mathematical model is the three-dimensional heat<br />

conduction equation:<br />

5<br />

2<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 71<br />

ISBN: 978-2-35500-010-2


∂ 2 T<br />

∂ x ∂2 T T<br />

2<br />

∂ y 2 ∂2 =0 (1)<br />

2<br />

∂ z<br />

with the following boundary conditions:<br />

a) on the boundary between chip and air:<br />

∂T<br />

1<br />

=−P x , y T −T<br />

∂ z env<br />

, (2)<br />

∣z=0<br />

P x , y= /S ,x , y∈S ,<br />

el el el {P 0, x , y∉S el<br />

,<br />

b) on the boundaries of different layers:<br />

∂T<br />

∂T<br />

−i<br />

=<br />

∂ z i1 , (3)<br />

∣z =z i<br />

−0 ∂ z ∣z= z i<br />

0<br />

T x , y , z i<br />

−0=T x , y , z i<br />

0 (4)<br />

c) on the bottom of the package there are two variants:<br />

1) the heat conduction of package is large (metallic<br />

package) its temperature is constant, but it is not<br />

equal to environmental temperature, then the<br />

bottom of parallelepiped is the bound solder –<br />

package, or compound – package, and the boundary<br />

condition:<br />

T x , y , z n<br />

=T pack<br />

=const (5)<br />

2) the package is not isothermal through the little<br />

heat conduction (plastic package), then the bottom<br />

of parallelepiped is the bound air – package and<br />

there is the convective heat exchange on it:<br />

∂ T<br />

−n<br />

=T x , y , z<br />

∂ z n<br />

−T env<br />

(6)<br />

∣z =z n<br />

d) there is no heat exchange on the lateral surfaces of the<br />

chip:<br />

∂ T<br />

= ∂ T = ∂T = ∂T =0 (7)<br />

∂ x∣x=0 ∂ x∣x= x C<br />

∂ y∣y=0 ∂ y∣y= y C<br />

In equations (1) – (7) the following notations are used:<br />

T – absolute temperature (K), P el – power of element<br />

(W), S el – area of element (mm 2 ), i – coefficients of<br />

thermal conductivity of layers (W/mm·K), T pack –<br />

temperature of package (К), T env – the environmental<br />

temperature (K), – coefficient of convective heat<br />

exchange (W/mm 2·K), x C , y C – the chip sizes on<br />

layout plane (mm), z i – the layer co-ordinates.<br />

Thermal conductivity of silicon depends on temperature:<br />

7-9 October 2009, Leuven, Belgium<br />

Si =311.0/T 4 /3 , W/mm·K, (8)<br />

For solving of the three-dimensional heat conduction<br />

equation (1) with the boundary conditions (2) – (7) we use<br />

the separation of variables method with the discrete Fourier<br />

transformation and fast Fourier transformation algorithms<br />

(FFT) [7]. The solution of equation (1) has the form:<br />

T i , j = F −1 F P i , j ⋅ k , l ,1 ,<br />

i=0,,M x<br />

, j=0,,M y<br />

,<br />

(9)<br />

where: T i , j and P i , j – the temperature of top of the<br />

chip and power density in the difference network nodes<br />

respectively; F ⋅ , F −1 ⋅ – right and inverse<br />

discrete Fourier transformations, respectively:<br />

f k ,l =F f i , j =<br />

M<br />

2<br />

x<br />

∑<br />

M x<br />

M y i=0<br />

M x<br />

M y<br />

∑<br />

j=0<br />

f i , j<br />

cos k i<br />

M x<br />

cos l j<br />

M y<br />

,<br />

f i , j =F −1 f k ,l =<br />

M y<br />

∑<br />

l =0<br />

2<br />

∑<br />

M x<br />

M y k=0<br />

f k ,l<br />

cos k i cos l j ;<br />

M x<br />

M y<br />

factors k ,l ,1 , k=0,,M x , l=0,, M y<br />

are determined from the boundary conditions.<br />

Overheat input data consist of four types of parameters:<br />

1. parameters, describing IC package: number and<br />

type of each construct layer, its sizes, physical and<br />

thermal properties of the material;<br />

2. parameters describing IC layout: number and type<br />

of each elements; its configuration sizes, thermal<br />

conductivity;<br />

3. powers of IC elements;<br />

4. parameters directing the simulation process:<br />

M x<br />

×M y grid sizes, accuracy of solutions.<br />

Output data:<br />

1. M x<br />

×M y matrix of temperatures in i , j grid<br />

points;<br />

2. multi-color temperature map of IC chip;<br />

3. average and maximal temperatures of elements.<br />

Execution time rises as M∗lnM , where M – grid<br />

size in one dimension. Overheat was developed for<br />

GNU/Linux operating system for including into developed<br />

electro-thermal simulation subsystem.<br />

ETh SimCoupler – dispatcher program is developed to<br />

manage thermal and electrical simulators and their<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 72<br />

ISBN: 978-2-35500-010-2


interaction. ETh SimCoupler converts data transferred<br />

between simulators (Overheat and Eldo) and launches them,<br />

when appropriate. The program runs under GNU/Linux<br />

operating system.<br />

ETh Model Generator – converter for changing layout<br />

data format from IC Station into Overheat input file format.<br />

ETh Model Generator is developed as additional component<br />

to layout editor IC Station.<br />

The flow chart of electro-thermal simulation is shown in<br />

fig. 1. Simulation begins with thermal model generation<br />

(arrow #1 in fig. 1) made by ETh Model Generator. It<br />

requires layout to be fully developed in IC Station and<br />

corresponding electrical netlist to be successfully simulated<br />

in Eldo. On the second stage ETh SimCoupler is launched to<br />

manage iteration simulation and transfer data between<br />

Overheat and Eldo. ETh SimCoupler runs Eldo and reveals<br />

information about powers from this simulation (arrow #2 in<br />

fig. 1); writes these information into Overheat input file<br />

(arrow #3 in fig. 1); runs thermal simulation through<br />

Overheat and reveals temperature information (arrow #4 in<br />

fig. 1); forms new Eldo input file using gained temperatures<br />

(arrow #5 in fig. 1). Simulation is looped this way (stages<br />

from 2 to 5 in fig. 1) until values of dissipated powers of<br />

elements on two consequential iterations differ less then<br />

P parameter.<br />

III.<br />

APPLICATION EXAMPLE<br />

The effectiveness of developed electro-thermal subsystem<br />

fig. 1 is demonstrated in the example of power voltage<br />

regulator IC K142EN9 (see fig. 1). Input voltage is 40 V,<br />

output voltage 27 V, output current 270 mA, total power<br />

about 11 W.<br />

The IC chip layout with sizes 2 mm X 2 mm (see fig. 4)<br />

was covered in Overheat by the 513x513 grid for numerical<br />

quasi-3d solution of heat transfer equation (1).<br />

IBM PC compatible computer (RAM – 512 Mb, CPU<br />

frequency – 1.8 GHz) with GNU/Linux version 2.4.18<br />

operating system was used. Simulation finished in 3<br />

iterations and took 14 seconds. Power accuracy parameter<br />

P was set to 10 mW.<br />

In fig. 4 isothermal lines on the IC chip surface are shown.<br />

IV.<br />

IR THERMOGRAPHY OF IC CHIP<br />

IR camera A40 of FLIR Systems with 18 μm macrolens<br />

was used to measure IC chip thermal map and temperatures<br />

of elements. Camera thermal sensitivity is 80 mK when<br />

7-9 October 2009, Leuven, Belgium<br />

temperature is 25 ºC, resolution is 320x240 pixels, tolerance<br />

+/- 2 ºC. IC chip thermal map is shown in fig. 5. Comparing<br />

simulated and measured thermal maps shown in fig. 4 and 5,<br />

one can see sufficient accuracy. The differences in<br />

temperatures of IC elements are 2-5 ºC (see Table 1).<br />

TABLE 1<br />

SIMULATED AND MEASURED TEMPERATURES COMPARISON<br />

Dot<br />

Temperature<br />

Difference<br />

Measured Simulated<br />

A 355.2 K 353.5 K 1.7 K<br />

B 353.0 K 353.0 K 0.0 K<br />

C 347.2 K 350.4 K 3.2 K<br />

D 343.4 K 339.5 K 3.9 K<br />

E 341.1 K 339.9 K 1.2 K<br />

V. CONCLUSIONS<br />

Fully automated electro-thermal simulation subsystem is<br />

introduced into Mentor Graphics IC Station Design System.<br />

Efficiency of new electro-thermal subsystem were<br />

demonstrated by the examples of different types of power<br />

analogue and mixed A/D ICs produced by different<br />

semiconductor technologies [8].<br />

REFERENCES<br />

[1] S. Wünshe, C. Clauß, P. Schwarz, F. Winkler, „Electro-Thermal<br />

Circuit Simulation Using Simulator Coupling”, IEEE Trans. on VLSI<br />

Systems, 1997, Vol. 5, № 3, pp. 277-282<br />

[2] V. Székely, A. Poppe, A. Páhi, A. Csendes, G. Hajas, M. Rencz.<br />

Electro-Thermal and Logi-Thermal Simulation of VLSI Designs. IEEE<br />

Transactions on VLSI Systems, 1997, vol. 5,№¹ 3, pp. 258–269.<br />

[3] V. Sabry, A. Bontemps, R. Vahrmann, „Realistic and efficient<br />

simulation of electrothermal effects in VLSI circuits”, IEEE Trans.<br />

VLSI Systems, 1997, Vol. 5, № 3, pp. 283-289<br />

[4] V. Székely, A. Páhi, A. Poppe, M. Rencz, A. Csendes. SISSSI – a tool<br />

for dynamic electro-thermal simulation of analog VLSI cells. Proc. of<br />

European Design and Test Conference, 1997, p. 617.<br />

[5] G. Horvath, A. Poppe. The Sissy electro-thermal simulation system<br />

based on modern software technologies. Proc. of the<br />

11th THERMINIC Workshop, Sept. 2005, Belgirate, Italy, pp. 51-54.<br />

[6] K.O. Petrosjanc, I.A.Kharitonov, N.I. Ryabov, P.P. Maltcev. Software<br />

System for Semiconductor Devices, Monolithic and Hybrid ICs<br />

Thermal Analysis. Proc. of EURO-DAC’95 European Design<br />

Automation Conf., Sept. 1995, Brighton, UK, pp. 360-365.<br />

[7] K.O. Petrosjanc, N.I. Ryabov. Algorithms and Software Tools for<br />

Electro-Thermal Design of Semiconductor Devices and ICs. Proc. of<br />

Intern. Conf. on Parallel Computing in Electrical Engineering<br />

(PARELEC’98), Sept. 1998, Biarlystok, Poland, pp. 310-312.<br />

[8] Thermal Analysis and Modeling of Electronic Components:<br />

Semiconductor Devices, Chips, PCBs and Units // Official Catalogue<br />

CeBIT-2007, 2008 “Russian Information Technologies”. Hannover,<br />

Germany.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 73<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 3. Power voltage regulator IC schematic (K142EN9).<br />

C<br />

A<br />

B<br />

356,0<br />

K<br />

353,0<br />

350,0<br />

347,0<br />

343,9<br />

340,9<br />

D<br />

E<br />

337,9<br />

334,9<br />

331,9<br />

Fig. 4. Simulated thermal map of K142EN9 IC.<br />

Fig. 5. Thermal distribution on top of K142EN9 IC,<br />

measured using IR thermography.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 74<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Thermoelectric Energy Scavenging from<br />

Waste Heat of Power Amplifier Transistors<br />

Kyoung Joon Kim 1 , Marc Hodes 2<br />

1 Bell Labs Ireland, Alcatel-Lucent, Blanchardstown, Dublin 15, Ireland<br />

2 Department of Mechanical Engineering, Tufts University, Medford, MA 02155, USA<br />

Abstract-- A thermoelectric (TE) energy scavenging technique is<br />

proposed to recover energy from the waste heat of power amplifier<br />

(PA) transistors. Explored are optimized pellet geometries for<br />

maximum efficiency and performance of TE power generation<br />

scavenging energy under various parametric conditions. A<br />

fully-coupled TE model is developed and integrates TE physics<br />

with heat transfer physics. The TE model is exercised to optimize<br />

pellet geometries under various heat dissipations of PA transistors,<br />

heat sink performances, and load resistances. The TE model<br />

determines the efficiencies and the performances of the energy<br />

scavenging module associated with optimized pellet geometries.<br />

I. INTRODUCTION<br />

Base stations consume up to 70% of the total energy of a<br />

wireless access network (WAN), and thus increasing energy<br />

efficiency of base stations may considerably reduce the<br />

operating cost of the WANs and improve their ecosustainability.<br />

However, current power amplifiers (PAs)<br />

adversely impact the energy efficiency of base stations [1] and<br />

PA transistors consume most energy in PAs. Indeed, PA<br />

transistors waste 20% of the total energy used in a WAN in the<br />

form of heat. Hence, the energy recovery from the waste heat<br />

of transistors may considerably improve the net energy<br />

efficiency of the WAN.<br />

Recently, researchers have studied on the energy recovery<br />

performances of thermoelectric generators (TEGs) from waste<br />

heats [2]-[8]. Ikoma et al. [2] developed a Si-Ge based TEG to<br />

apply to gasoline engine vehicles. Haidar and Ghojel [3]<br />

demonstrated the use of the commercial Bismuth Telluride<br />

based TEG to apply to the low-power stationary diesel engine.<br />

Kajikawa [4] reported the feasibility to use thermoelectric<br />

power generation systems to recover the electricity from the<br />

municipal waste heat. Maneewan et al. [6] reported the<br />

performance of a thermoelectric power generator using solar<br />

heating. Suski and Edward proposed the conceptual idea to<br />

recover the electricity from the waste heat of a CPU [7].<br />

Solbrekken et al. [5], [8] demonstrated the recovery of the<br />

electricity from a CPU waste heat.<br />

In order to improve the power generation efficiency, recent<br />

studies have been focused on the development of novel<br />

thermoelectric materials having better figure of merit (ZT); e.g.<br />

PbSeTe/PbTe quantum dot superlattice structures [9], p-type<br />

Bi 2 Te 3 /Sb 2 Te 3 supperlattice devices [10], AgPb m SbTe 2+m<br />

material [11], and silicon nanowires structure [12-13].<br />

However, due to the practical difficulties in scaling and<br />

manufacturing advanced materials and novel structure based<br />

TEGs, other approaches are needed to improve the generation<br />

efficiency. Pellet geometries are expected to considerably<br />

affect the efficiency of TEGs, and thus the optimization of<br />

pellet geometries can be an effective approach to improve the<br />

efficiency.<br />

This study seeks to investigate the efficiency and the<br />

performance of the power generation of the energy scavenging<br />

module under various thermal and electrical conditions. A<br />

fully-coupled TE model is developed. The TE model combines<br />

thermoelectric theory with heat transfer physics. The TE<br />

model is used to optimize pellet geometries; pellet height,<br />

pellet cross sectional area, number of thermocouples; for the<br />

power generation and the generation efficiency of the energy<br />

scavenging module under various heat dissipations of a PA<br />

transistor, heat sink performances, and load resistances.<br />

Maximum power generations and efficiencies associated with<br />

optimized pellet geometries for various parametric conditions<br />

are also explored.<br />

II. BASICS OF THERMOELECTRIC POWER GENERATION<br />

The principle of a thermoelectric power generation is<br />

illustrated in Fig.1. A single thermocouple is shown for the<br />

illustration purpose although typical TEGs often contain<br />

hundreds of thermocouples. A thermocouple consists of two<br />

dissimilar materials (p- and n-type semiconductors) and one<br />

material is electrically positive relative to the other material.<br />

One of the most common thermocouple materials is Bi 2 Te 3 .<br />

Two pellets are connected electrically in series and thermally in<br />

parallel between ceramic substrates.<br />

When a heat rate, q h , is transferred to a thermocouple, a<br />

certain amount of the heat rate is converted into electrical<br />

power by generating the electrical current, I, in the generation<br />

circuit due to the Peltier effect. The Peltier effect occurs due to<br />

the fact that the amount of electrical energy carried by the<br />

charged carriers associated with the flow of the electrical<br />

current depends on the material. Further explanations regarding<br />

the Peltier effect can be found in the literatures [14].<br />

Consequently, the amount of q h that was not converted into the<br />

electrical power, q c , flows out of the thermocouple. In Fig.1, T h<br />

and T c are the temperatures of the thermocouple’s hot and cold<br />

junctions, respectively, and R L is an electric load on the<br />

generation cycle.<br />

III. THERMOELECTRIC MODELLING OF ENERGY<br />

SCAVENGING MODULE<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 75<br />

ISBN: 978-2-35500-010-2


q h<br />

T h<br />

7-9 October 2009, Leuven, Belgium<br />

Heat Source<br />

Heat<br />

Spreader<br />

TEG<br />

P<br />

N<br />

Heat<br />

Sink<br />

q c<br />

T c<br />

I<br />

Fig. 2. Thermoelectric energy scavenging module.<br />

R L<br />

Fig. 1. Schematic of a thermocouple in generation mode.<br />

This section shows a proposed thermoelectric (TE) energy<br />

scavenging module to recover the wasted energy from PA<br />

transistors. This section also presents a fully-coupled TE model<br />

developed to explore the performance and parametric behaviors<br />

of the energy scavenging module.<br />

A. Energy Scavenging Module<br />

An energy scavenging module contains a Cu heat spreader, a<br />

Bi 2 Te 3 based thermoelectric generator (TEG), and a heat sink<br />

as illustrated in Fig. 2. A Cu heat spreader is used to minimize<br />

the thermal resistance between the transistor and the<br />

thermoelectric generator (TEG). Forced-air convection is<br />

utilized for the reliability of high power PAs, e.g. PAs for<br />

macro-cell base stations. Hence, the module contains a heat<br />

sink that enhances the heat transfer from the TEG to the<br />

ambient.<br />

B. Fully-Coupled TE Model<br />

A fully-coupled TE model was developed to explore the<br />

power generation performance as well as the thermal<br />

performance of the energy scavenging module. The TE model<br />

integrates the TE theory with heat transfer theory by<br />

embedding a power generation cycle in a thermal network; as<br />

per Fig. 3. q tot is the total heat flow from the source (the<br />

transistor), q h is the heat flow to the TEG hot side, h, P L is the<br />

generated power by the TEG, and q c is the heat flow out of the<br />

TEG at the TEG cold side, c. j and a denote the junction and<br />

the ambient. θ jh and θ ca are thermal resistances between j and h<br />

and between c and a. Fig. 3 shows basic physics of the TE<br />

model, i.e., heat flow from the source conducts to the TEG, a<br />

fraction of the heat is converted into the useful power, and the<br />

remainder is dissipated to the ambient.<br />

q h and q c can be expressed by the following equations.<br />

q<br />

( ) /<br />

2 tot<br />

= qh<br />

= NIα Th<br />

+ Th<br />

−Tc<br />

θTEG<br />

− NI R / 2 (1)<br />

q = NIα T + ( T −T<br />

) / θ NI<br />

2 R / 2 (2)<br />

c c h c TEG +<br />

where N is the number of thermocouples, I is the generated<br />

current, α is the Seebeck coefficient, T h and T c are temperatures<br />

of hot and cold sides of the TEG, respectively, θ TEG is the TEG<br />

thermal resistance, R is the electrical resistance of a<br />

thermocouple. Equation (1) shows that q tot is equal to q h . It is<br />

based on the assumption that the heat loss from the source to<br />

the ambient is negligible compared with the heat flow<br />

conducting through the heat spreader to the TEG hot side.<br />

In (1) and (2), the first terms, NIαT h and NIαT c are the energy<br />

terms due to Peltier effect, the 2nd term, (T h -T c )/θ TEG is the heat<br />

conduction purely due to the temperature difference across<br />

pellets, the 3rd term, NI 2 R/2 is the Joule heating effect. The<br />

further information including the derivation of q h and q c in the<br />

form of three terms can be found in the literature [14].<br />

q h and q c can be expressed in the form of heat flows between<br />

j and h and heat flow between c and a as<br />

q<br />

q<br />

h<br />

c<br />

( T j −Th<br />

)/<br />

θ jh<br />

= (3)<br />

( Tc<br />

−Ta<br />

)/<br />

θca<br />

= (4)<br />

where T j is the junction temperature and T a is the ambient<br />

temperature.<br />

I is defined as<br />

( T −T<br />

)<br />

N h c<br />

I = α (5)<br />

NR + R<br />

where the numerator is the generated voltage across the TEG,<br />

the denominator is the total electrical resistance of the<br />

generation system evaluated by adding the net electrical<br />

resistance of the TEG, NR to R L .<br />

R is defined as<br />

p<br />

L<br />

H<br />

R = 2 ρ + Rc<br />

(6)<br />

A<br />

where ρ is the electrical resistivity of the pellet, H is the height<br />

of the pellet, A p is the pellet cross sectional area, R c is the<br />

electrical contact resistance of a thermocouple.<br />

R c is defined as<br />

R<br />

c<br />

Rc−ρ<br />

= 4 (7)<br />

A<br />

where R c-ρ is the electrical contact resistivity of a thermocouple.<br />

θ TEG can be defined as<br />

P<br />

θ H<br />

TEG<br />

= 2 N⋅kA<br />

(8)<br />

where k is the thermal conductivity of the pellet.<br />

The generated power, P L , associated with R L is defined as<br />

2<br />

L<br />

R L<br />

p<br />

P = I<br />

(9)<br />

Considering the energy balance in a TEG, one can see that the<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 76<br />

ISBN: 978-2-35500-010-2


•θ jh<br />

•θ ca<br />

j h c a<br />

q tot TEG<br />

q h<br />

q c<br />

P L<br />

Fig. 3. Schematic of fully-coupled thermoelectric model.<br />

power can be also obtained by subtracting q c from q h .<br />

The power generation efficiency, η, is defined as the ratio of P L<br />

to q tot as follows.<br />

P<br />

=<br />

q<br />

L<br />

η (10)<br />

Solving (1) to (10) simultaneously, thermal and power<br />

generating performances and generation efficiencies are<br />

determined.<br />

IV. THERMOELECTRIC ANALYSIS<br />

This section shows optimized pellet geometries to obtain the<br />

maximum performance and the maximum efficiency of the<br />

energy scavenging module under various q tot , θ ca and R L.<br />

Predicted power generations and efficiencies corresponding to<br />

the optimized pellet geometries are also shown here.<br />

A. Parameters and Conditions<br />

The optimized pellet geometries; pellet height, H, number of<br />

thermocouples, N, pellet cross sectional area, A P ; were<br />

predicted by solving the TE model; see (1) to (10). The<br />

solutions were obtained for N ranging from 25 to 2500 and H<br />

ranging from 0.01mm to 10 mm under q tot ranging from 20W<br />

to 100W, θ ca of 0.1K/W and 1K/W and R L of 5Ω and 100Ω.<br />

Consequently, the TE model determined maximum power<br />

generations, P max , and generation efficiencies, η max associated<br />

with the optimized pellet geometries. . The junction<br />

temperatures, T j , of PA transistors operating at nominal<br />

conditions of wireless access network equipments range from<br />

150˚C to 200˚C. In this study the arithmetic mean value; i.e.<br />

175˚C was used for all the cases.<br />

The material properties of Bi 2 Te 3 were used. The used<br />

properties are Seebeck coefficient of 4×10 -4 V/K, electrical<br />

resistivity of 1×10 -5 Ω-m, thermal conductivity of 1.5 W/m-K,<br />

and electrical contact resistivity of 1 × 10 -9 Ω-m 2 . Thermal<br />

resistance between the junction and the TEG hot side, i.e., θ jh<br />

was estimated considering interfacial resistances as well as the<br />

resistance through the heat spreader, and the estimated value<br />

was 0.3K/W. A typical air temperature in the enclosures of<br />

the electronic equipments is 35ºC , and thus the ambient<br />

temperature was assumed to be 35ºC. The used parameters for<br />

the analysis are summarized in Table 1.<br />

B. Results with θ ca of 0.1K/W<br />

The results associated with θ ca of 0.1K/W are presented in<br />

Figs. 4a to 4c. Figs. 4a and 4b show optimized pellet<br />

geometries (N opt , H opt , and A Popt ) for q tot ranging from 20W to<br />

100W associated with R L of 5Ω and 100Ω. Fig. 4c shows P max<br />

and η max corresponding to N opt , H opt , A Popt for various q tot . H opt<br />

was determined to be 10mm for all q tot and R L . It is useful to<br />

note again that the used H for the calculation range from 0.01<br />

to 10 mm. This interesting result is mainly due to the fact<br />

tot<br />

7-9 October 2009, Leuven, Belgium<br />

TABLE 1<br />

PARAMETER VALUES FOR ANALYSIS<br />

Parameter Symbol Value<br />

Seebeck coefficient α 4 × 10 -4 V/K<br />

Electrical resistivity ρ 1 × 10 -5 Ω-m<br />

Thermal conductivity k 1.5 W/m-K<br />

Electrical contact resistivity R c-ρ 1 × 10 -9 Ω-m 2<br />

Thermal resistance between<br />

junction and TEG hot side<br />

that maximum temperature difference across pellets occurs at<br />

the maximum height in the parametric range. The effect of the<br />

temperature difference across pellets is seen to be a dominant<br />

effect to the power generation.<br />

The results associated with both R L show that both N opt and<br />

A Popt increase with the increase of the q tot ; N opt increases from<br />

95 to 250 with R L of 5Ω and 435 to 1125 with R L of 100Ω and<br />

A Popt increases from 4.6 to 11.5mm 2 with R L of 5Ω and from 1<br />

to 2.6mm 2 with R L of 100Ω as q tot increases from 20 to 100W.<br />

To maintain T j consistently, i.e., 175ºC against the increase of<br />

q tot , the thermal resistance across the TEG, θ TEG , should be<br />

reduced. The product of N and A P determines the effective<br />

pellet area of the TEG, A e and the increase of A e reduces θ TEG .<br />

Hence, both N opt and A Popt increase with the increase of q tot .<br />

η max was found to decrease with the increase of q tot ; e.g.,<br />

7.4% at 20W and 5.7% at 100W; see Fig. 4c. It is mainly due<br />

to the reduction of the temperature difference across the TEG<br />

induced by the decrease of θ TEG to consistently maintain the T j<br />

against the increase of q tot . η max strongly depends on the product<br />

of N opt and A Popt . N opt with R L of 100Ω is found to be about 4.5<br />

times greater than that with R L of 5Ω whereas A Popt with 100Ω<br />

is about 4.5 times smaller than that with 5Ω. The result<br />

suggests that the value of the product of N opt and A Popt for each<br />

R L is very similar to each other. Consequently, the similar<br />

product values may explain the similar efficiencies despite 20<br />

times difference between two R L .<br />

C. Results with θ ca of 1K/W<br />

Optimized pellet geometries, maximum power generations<br />

and generation efficiencies were further explored associated<br />

with θ ca of 1 K/W. Figs. 5a and 5b show N opt , H opt , A Popt for<br />

various q tot ranging from 20W to 100W associated with R L of<br />

5Ω and 100Ω. Fig. 5c shows P max and η max corresponding to<br />

N opt , H opt , A Popt for various q tot . Similar to the previous result<br />

with 0.1K/W, H opt was found to be 10mm and the result<br />

supports again that a dominant parameter affecting η max and<br />

P max is T h -T c .<br />

Similar to previous cases, N opt and A Popt increase with the<br />

increase of q tot to consistently maintain the junction<br />

temperature; N opt increases from 105 to 765 with R L of 5Ω and<br />

465 to 2500 with R L of 100Ω and A Popt increases from 4.7 to<br />

37.2 mm 2 with R L of 5Ω and from 1.1 to 12.1mm 2 with R L of<br />

100Ω as q tot increases from 20 to 100W. T h -T c should be<br />

reduced to consistently maintain the junction temperature<br />

against the increase of q tot . Consequently, η max was found to<br />

decrease with the increase of q tot ; e.g. 6.4% at 20W and 0.5% at<br />

100W.<br />

θ jh<br />

0.3 K/W<br />

Ambient temperature T a 35 ºC<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 77<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Nopt<br />

Nopt<br />

300<br />

250<br />

200<br />

150<br />

100<br />

1200<br />

1000<br />

800<br />

600<br />

400<br />

200<br />

Pmax(W), ηmax(%)<br />

50<br />

0<br />

0<br />

8<br />

7<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

0<br />

N opt<br />

A Popt<br />

H opt<br />

0 20 40 60 80 100 120<br />

N opt<br />

A Popt<br />

H opt<br />

q tot (W)<br />

0 20 40 60 80 100 120<br />

q tot (W)<br />

η max<br />

P max<br />

5Ω<br />

100Ω<br />

5Ω<br />

(a)<br />

(b)<br />

(c)<br />

100Ω<br />

20<br />

15<br />

10<br />

5<br />

0<br />

0 20 40 60 80 100 120<br />

q tot (W)<br />

20<br />

15<br />

10<br />

Fig. 4. (a) and (b) are optimized number of thermocouples (N opt), pellet cross<br />

sectional area (A Popt), pellet height (H opt) versus various heat flows from the<br />

source (q tot) associated with R L of 5Ω (a) and with R L of 100Ω (b). (c) is<br />

maximum power generations (P max) and generation efficiencies (η max) versus<br />

various heat flows from the source (q tot) associated with both R L of 5 and 100Ω.<br />

θ ca is 0.1K/W for all the cases.<br />

Fig. 5c shows that η max associated with two R L is very similar to<br />

each other despite 20 times difference between two R L . The<br />

similar η max can be explained by the fact that the value of the<br />

product of N opt and A Popt for each R L is very similar to each<br />

other. The calculated results show that η max considerably<br />

decreases, 7.4% to 6.4% at q tot of 20W and 5.7% to 0.6% at q tot<br />

of 100W, as θ ca increases from 0.1 to 1K/W. The deteriorated<br />

η max can be explained by the decrease of T h -T c induced by the<br />

increase of the net thermal resistance of the module.<br />

5<br />

0<br />

APopt(mm 2 ), Hopt(mm)<br />

APopt(mm 2 ), Hopt(mm)<br />

Nopt<br />

Nopt<br />

800<br />

600<br />

400<br />

200<br />

0<br />

3000<br />

2500<br />

2000<br />

1500<br />

1000<br />

500<br />

Pmax(W), ηmax(%)<br />

0<br />

8<br />

7<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

0<br />

N opt<br />

A Popt<br />

H opt<br />

0 20 40 60 80 100 120<br />

q tot (W)<br />

N opt<br />

A Popt<br />

H opt<br />

0 20 40 60 80 100 120<br />

q tot (W)<br />

η max<br />

P max<br />

5Ω<br />

(a)<br />

(b)<br />

100Ω<br />

5Ω<br />

100Ω<br />

(c)<br />

0 20 40 60 80 100 120<br />

q tot (W)<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

50<br />

40<br />

30<br />

20<br />

10<br />

Fig. 5. (a) and (b) are optimized number of thermocouples (N opt), pellet cross<br />

sectional area (A Popt), pellet height (H opt) versus various heat flows from the<br />

source (q tot) associated with R L of 5Ω (a) and with R L of 100Ω (b). (c) is<br />

maximum power generations (P max) and generation efficiencies (η max) versus<br />

various heat flows from the source (q tot) associated with both R L of 5 and 100Ω.<br />

θ ca is 1K/W for all the cases.<br />

V. CONCLUSION<br />

A thermoelectric (TE) energy scavenging module was<br />

proposed to generate the electricity from the waste heat of PA<br />

transistors. A fully-coupled TE model was developed<br />

combining TE physics and heat transfer physics. The TE model<br />

optimized pellet geometries such as pellet height, number of<br />

thermocouples, pellet cross sectional area to maximize power<br />

generations and efficiencies under various thermal and<br />

electrical conditions; heat dissipations of a PA transistor, heat<br />

0<br />

APopt(mm 2 ), Hopt(mm)<br />

APopt(mm 2 ), Hopt(mm)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 78<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

sink performances, and load resistances. The TE model was<br />

used to determine the maximum power generations and<br />

efficiencies associated with the optimized pellet geometries.<br />

Optimized pellet height was found to be 10mm. The result<br />

suggests that the temperature difference across pellets is a<br />

dominant parameter affecting power generations and<br />

efficiencies. The parametric study showed that generation<br />

efficiencies associated with different load resistances are very<br />

similar to each other despite 20 times difference between two<br />

load resistances. The study showed that generation efficiency<br />

decreases, e.g. 7.4% to 6.4% at a source heat flow of 20W, as<br />

the thermal resistance between the TEG cold side and the<br />

ambient increases from 0.1K/W to 1K/W. The decrease of the<br />

temperature difference across the TEG induced by the increase<br />

of the net thermal resistance of the module can explain the<br />

degradation of the generation efficiency.<br />

ACKNOWLEDGMENT<br />

We would like to thank Todd Salamon in Bell Labs, Alcatel-<br />

Lucent for the constructive discussion regarding this work.<br />

This work was supported by IDA Ireland.<br />

REFERENCES<br />

[1] G. Fischer, “Next-generation base station radio frequency architecture”,<br />

Bell Labs Tech. J., vol. 12, no. 2, pp. 3–18, 2007<br />

[2] K.Ikoma, M.Munekiyo, K.Furuya, M.Kobayashi, T.Izumi, and<br />

K.Shinohara, “Thermoelectric module and generator for gasoline engine<br />

vehicles”, Proc. 17th Int. Conf. on Thermoelectrics, Nagoya, Japan, May<br />

1998, pp. 464-467<br />

[3] J. G. Haidar, J. I. Ghojel, “Waste heat recovery from the exhaust of lowpower<br />

diesel engine using thermoelectric generators”, Proc. 20th Int.<br />

Conf. on Thermoelectrics, Beijing, China, June 2001, pp. 413-417<br />

[4] T. Kajikawa, “Status and future prospects on the development of<br />

thermoelectric power generation systems utilizing combustion heat from<br />

municipal solid waste”, Proc. 16th Int. Conf. on Thermoelectrics,<br />

Dresden, Germany, August 1997, pp. 28-36<br />

[5] G. L. Solbrekken, K. Yazawa,A. Bar-Cohen, “Heat driven cooling of<br />

portable electronics using thermoelectric technology”, IEEE T. Adv.<br />

Packaging, vol. 31, no. 2, pp. 429-437, May 2008<br />

[6] S. Maneewan, J. Khedari, B. Zeghmati, J. Hirunlabh and J.<br />

Eakburanawat, “Experimental investigation on generated power of<br />

thermoelectric roof solar collector”, Proc. 22nd Int. Conf. on<br />

Thermoelectrics, Montpellier, France, August 2003, pp. 574-577<br />

[7] Suski and D. Edward, “Method and apparatus for recovering power from<br />

semiconductor circuit using thermoelectric device,” U.S. Patent 5 419<br />

780, May 30, 1995.<br />

[8] K. Yazawa, G. L. Solbrekken, and A. Bar-Cohen, “Thermoelectric<br />

powered convective cooling of microprocessors,” IEEE T. Adv.<br />

Packaging, vol. 28, no. 2, pp. 231–239, May 2005.<br />

[9] T. C. Harman, P. J. Taylor, M. P. Walsh, B. E. LaForge, “Quantum dot<br />

superlattice thermoelectric materials and devices”, Science, vol. 297, pp.<br />

2229-2232, September 2002<br />

[10] R. Venkatasubramanian, E. Siivola, T. Colpitts, B. O'Quinn, “Thin-film<br />

thermoelectric devices with high room-temperature figures of merit”,<br />

Nature, vol. 413, pp. 597-602, October 2001<br />

[11] K. F. Hsu, S. Loo, F. Guo, W. Chen, J. S. Dyck, C. Uher, T. Hogan, E.<br />

K. Polychroniadis, M. G. Kanatzidis, “Cubic AgPb mSbTe 2+m: Bulk<br />

thermoelectric materials with high figure of merit”, Science, vol. 303,<br />

pp. 818-821, February 2004<br />

[12] A. I. Hochbaum, R. Chen, R. D. Delgado, W. Liang, E. C. Garnett,<br />

M. Najarian, A. Majumdar, P. Yang, “Enhanced thermoelectric<br />

performance of rough silicon nanowires”, Nature, vol. 451, pp. 163-167,<br />

January 2008<br />

[13] A. I. Boukai, Y. Bunimovich, J. Tahir-Kheli, J.-K. Yu, W. A. Goddard<br />

III ,J. R. Heath , “Silicon nanowires as efficient thermoelectric<br />

materials”, Nature, vol. 451, pp. 168-171, January 2008<br />

[14] D. M. Rowe, Thermoelectrics Handbook: Micro to Nano, CRC, 2006<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 79<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Practical Realization of PTAT Sensor<br />

for ASIC Overheat Protection<br />

M. Szermer, M. Janicki, Z. Kulesza, A. Napieralski<br />

Department of Microelectronics and Computer Science, Technical University of Lodz<br />

Wolczanska 221/223, 90-924 Lodz, POLAND<br />

Tel. +48 42 631 2722<br />

e-mail: szermer@dmcs.pl<br />

Abstract-This paper presents simulations and measurements<br />

of an integrated PTAT sensor. The sensor is equipped with an<br />

overheat protection circuit and was implemented in an ASIC<br />

containing matrices of heat sources and junction temperature<br />

sensors. The PTAT sensor is used for temperature monitoring<br />

and it is responsible for issuing warning signals in a case of chip<br />

overheat. Particular attention is paid to the discussion of sensor<br />

design and the presentation of simulated circuit operation.<br />

I. INTRODUCTION<br />

The constant increase of dissipated power density in the<br />

state-of-the-art integrated circuits quite often requires realtime<br />

chip temperature monitoring. The idea of temperature<br />

monitoring system is not new and it was already presented<br />

in [1]-[2]. One of the methods to realize such a monitoring<br />

system is to place temperature sensors inside circuit layout.<br />

Just simple p-n diodes could serve for this purpose.<br />

Another possible solution is to use dedicated temperature<br />

sensors, such as the Proportional To Absolute Temperature<br />

ones (PTATs). The main advantage of this sensor is that it<br />

has the linear dependence of output voltage on temperature.<br />

This allows high precision temperature measurements.<br />

Another advantage is that this sensor usually consists of a<br />

small number of transistors; hence the area occupied by the<br />

sensor is very small. Moreover, PTAT sensors can be<br />

designed using standard transistors available in a particular<br />

design technology, so no additional process steps are<br />

required. The PTAT sensors can be used as temperature<br />

warning elements only after minor modification of a circuit.<br />

This is a very desired feature in modern chips.<br />

The following section presents in detail the design of our<br />

sensor. Then, the simulated characteristics of the sensor are<br />

presented and compared with the measured ones. Finally<br />

separate section discusses the details of sensor layout design<br />

in the particular technology chosen for a practical ASIC<br />

realization.<br />

II. PTAT SENSOR DESIGN<br />

However the idea of PTAT sensor is well known but<br />

detailed description of it is written in this section [3]-[5].<br />

First the conception of the PTAT sensor with other blocks is<br />

discussed. After this the PTAT sensor is described in more<br />

details. The description of it reveals the conception of the<br />

overheat protection circuit.<br />

The block schematic of designed temperature monitoring<br />

system, placed in the ASIC, is shown in Fig. 1. This system<br />

consists of a sensor, a comparator, a voltage divider and<br />

current mirrors. The mirrors provide the proper bias currents<br />

to the other circuits and are not described in the paper. One<br />

input of the comparator is connected to the constant voltage<br />

from the voltage divider. The second input is connected to<br />

the output voltage from the PTAT sensor. This allows<br />

triggering the warning signal by the comparator. In case of<br />

emergency, this output signal from the comparator is<br />

responsible for switching off the transistors delivering<br />

current to the bipolar transistor inside the sensor. This action<br />

is described in more detail in the following paragraph. The<br />

practical realization of this system, its simulations and<br />

measurements are discussed in the next two sections.<br />

The designed PTAT sensor consists of 9 MOS transistors,<br />

3 bipolar transistors and 1 resistor (see Fig. 2). The MOS<br />

transistors create current mirrors which inject the desired<br />

current to the sensor. In order to explain properly the<br />

principle of sensor operation it is necessary to describe these<br />

current mirrors in more detail. This circuit consists of 7<br />

MOS transistors. Transistors M0 and M1 are the circuit<br />

inputs to which current is delivered. Transistors M4 and M5<br />

are used as outputs of the mirror. They deliver current to the<br />

bipolar transistor used in a sensor. From the operation point<br />

of view the pair of transistors M2 and M3 is very important.<br />

They constitute an additional output of the mirror.<br />

The transistor M6 is used as a switch which is responsible<br />

for turning on and off the additional current mirror output.<br />

The gate of this transistor is connected to the overheat<br />

warning signal. In absence of the warning, the current is<br />

flowing through the mirror and the voltage drop at the output<br />

is higher than in the case when the current is not flowing<br />

through the additional mirror (the warning signal high).<br />

Owing to this, the output voltage reaches its critical value at<br />

150 °C and the warning signal is issued.<br />

The sensor was designed so that it has a hysteresis in the<br />

output characteristics. This means that the warning signal is<br />

cancelled when the temperature decreases below 130 °C.<br />

This phenomenon is clearly visible in Figs. 3-4. The desired<br />

output signal hysteresis size and the low power consumption<br />

of the sensor were attained owing to the proper dimensioning<br />

of the transistors.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 80<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 1. Schematic of overheat protection circuit.<br />

Fig. 2. Detailed schematics of PTAT sensor.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 81<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

0.8<br />

0.75<br />

Voltage [V]<br />

0.7<br />

0.65<br />

0.6<br />

Sensor<br />

Localization<br />

0.55<br />

0.5<br />

0.45<br />

0 20 40 60 80 100 120 140 160<br />

Temp [C]<br />

Fig. 3. Simulations of the output signal from the sensor.<br />

III.<br />

SIMULATIONS<br />

The whole system containing the PTAT sensor, as already<br />

mentioned in previous section, was simulated in the<br />

CADENCE environment. In order to simulate this circuit,<br />

the transistor models from the austriamicrosystems ® (AMS)<br />

0.35 μm high voltage technology were used. This technology<br />

was chosen for the practical realization of the ASIC. The<br />

simulations confirmed that the behavior of the sensor<br />

conforms to the design specifications. With increasing<br />

temperature, the sensor output voltage decreases with the<br />

negative slope of –1.72 mV/K. When temperature reaches<br />

150 °C, the additional current mirror is switched off and the<br />

current delivered to the bipolar transistor is twice smaller.<br />

This means that the output voltage rapidly drops down by<br />

some 25 mV what allows the generation of logic one at the<br />

overheat warning pin, which is clearly visible in Fig. 3.<br />

When temperature decreases, the output voltage increases<br />

again. When the temperature reaches 130 °C, the output<br />

voltage rapidly grows and the warning signal is switched off,<br />

which can be observed in Fig. 4.<br />

Fig. 5. Photo of a die.<br />

IV. ASIC REALIZATION<br />

The presented overheat protection circuit containing the<br />

PTAT sensor, as already mentioned, was manufactured in<br />

the thermal test ASIC designed in the Department of Microelectronics<br />

& Computer Science at the Technical University<br />

of Lodz, Poland. The location of the sensor in the circuit<br />

layout is shown in Fig. 5. For full description of the test<br />

ASIC, refer to [6]-[7].<br />

The sensor layout, presented in Fig. 6, consists of 9 PMOS<br />

transistors which are connected as current mirrors. Besides,<br />

there are 3 bipolar transistors and 1 polysilicon resistor. All<br />

the devices are placed so as to obtain the minimal area in the<br />

ASIC. Because the circuit contains 9 large power transistors<br />

acting as heat sources, the total area of the whole ASIC<br />

amounts to almost 20 mm 2 . The dimensions of the PTAT<br />

sensor alone are 125 μm × 90 μm, so it is a very small sensor<br />

which can be used in different integrated circuits, where<br />

precise temperature measurements are necessary. Obviously,<br />

the PTAT sensor together with the overheat warning system<br />

occupies slightly bigger area.<br />

Voltage [V]<br />

3<br />

2.5<br />

2<br />

1.5<br />

1<br />

0.5<br />

MOS<br />

Transistors<br />

Bipolar<br />

Transistors<br />

Resistor<br />

0<br />

0 20 40 60 80 100 120 140 160<br />

Temp [C]<br />

Fig. 4. Simulations of the overheat warning signal.<br />

Fig. 6. Layout of PTAT sensor.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 82<br />

ISBN: 978-2-35500-010-2


Voltage [V]<br />

0.8<br />

0.78<br />

0.76<br />

0.74<br />

0.72<br />

0.7<br />

0.68<br />

0.66<br />

0.64<br />

0.62<br />

Meas. - Observed<br />

Meas. - Fitted<br />

Simulations<br />

0.6<br />

20 30 40 50 60 70 80 90 100<br />

Temperature [C]<br />

Fig. 7. Simulations vs. measurements.<br />

Unfortunately, the use of high voltage technology required<br />

the placement of additional guard rings between transistors<br />

and resistors. This was necessary because inside the chip<br />

also high voltage transistors are used as the heat sources.<br />

Therefore, the PTAT sensor, as an analog circuit, should be<br />

isolated, otherwise other circuits could disturb its operation.<br />

The sensor operation was tested on a measurement stand<br />

with forced water cooling in the temperature range from<br />

30 °C to 95 °C. The measurements of the manufactured<br />

PTAT sensor are compared with the earlier simulations in<br />

Fig. 7. As can be seen, the measured output characteristic is<br />

almost linear and has a negative slope of –1.74 mV/K, which<br />

is almost the same as the simulated one. However, the<br />

measurements reveal a small voltage offset of 20 mV. The<br />

most probably this offset is caused by the polysilicon<br />

resistor, whose resistance could be different than the one in<br />

the design, due to technological parameter scattering.<br />

Because so far only water cooling was used, the operation<br />

of the sensor was investigated only under 100 °C, so the<br />

verification of the overheat warning circuit could not be<br />

carried out. Currently the measurement stand is being<br />

7-9 October 2009, Leuven, Belgium<br />

modified through the introduction of Peltier thermo-electric<br />

modules and the dual cold plates. Then, such a verification<br />

and further sensor calibration in higher temperatures will be<br />

possible.<br />

V. CONCLUSIONS<br />

The design and the simulations of a PTAT sensor were<br />

presented in this paper. The measurements of the<br />

manufactured circuit confirmed the proper sensor operation.<br />

This sensor, integrated with the overheat protection circuit,<br />

can be reused as a stand-alone device. Through the proper<br />

dimensioning of MOS transistor channels the triggering<br />

temperature of the protection circuit and the hysteresis size<br />

can be adjusted.<br />

ACKNOWLEDGMENT<br />

This work was supported by the Ministry of Science and<br />

Higher Education grant No. N515 008 31/0331.<br />

REFERENCES<br />

[1] V. Szekely, “Thermal Monitoring of Microelectronic Structures”,<br />

Microelectron. J., Vol. 25, pp. 157-170, May 1994<br />

[2] W. Wojciak, A. Napieralski, “Thermal monitoring of a single heat<br />

source in semiconductor devices – the first approach”<br />

Microelectron. J., Vol. 28, No 3, pp. 313-316, March 1997<br />

[3] Chih-Ming Chang, Herming Chiueh, “A CMOS Proportional–To–<br />

Absolute Temperature Reference for Monolithic Temperature<br />

Sensors”, THERMINIC 2004, Sophia Antipolis, Cote d’Azur,<br />

France, 29 September – 1 October 2004<br />

[4] M. Szermer and A. Napieralski, “The PTAT Sensors in CMOS<br />

Technology”, 2005 International Semiconductor Conference, 28th<br />

Edition, Sinaia, Romania, 3-5 October, 2005, Vol. 1, pp.197-200<br />

[5] W. Wojciak, A. Napieralski, M. Zubert, M. Janicki “Thermal<br />

monitoring in integrated power electronics – new concept”, EPE’97,<br />

Trondheim, Norway 8–10 September 1997, pp. 2.906-2.910.<br />

[6] M. Szermer, Z. Kulesza, M. Janicki, A. Napieralski, “Test ASIC for<br />

Real Time Estimation of Chip Temperature”, NSTI Nanotech 2008,<br />

Hynes Convention Center, Boston, Massachusetts, USA, 1-5 June<br />

2008, Vol.3, pp. 529-532<br />

[7] M. Szermer, Z. Kulesza, M. Janicki, A. Napieralski, “Design of the<br />

Test ASIC for on-line Temperature Monitoring and Thermal<br />

Structure Analysis”, 15th International Conference Mixed Design of<br />

Integrated Circuits and Systems MIXDES 2008, Poznan, Poland,<br />

19-21 June 2008, pp. 317-320<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 83<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Thermo-Mechanical Reliability Loop<br />

In Device Modeling<br />

T. Bieniek, G. Janczyk, P. Grabiec, J. Szynka<br />

Institute of Electron Technology<br />

Al. Lotnikow 32/46<br />

02-668, Warsaw, POLAND<br />

Abstract- 3D device integration faces the designers with new<br />

thermo-mechanical design and device exploitation problems.<br />

Temperature dependent mechanical stress spreading across the<br />

device affects its performance. This paper discusses selected<br />

performance and reliability issues related device the<br />

mechanical stress and temperature influence.<br />

Keywords: Thermo-mechanical modeling, device reliability,<br />

integrated modeling, stress affection<br />

I. INTRODUCTION<br />

Evolving demands on device functionality, reliability and<br />

performance result in various paths of engineering technology<br />

development and handle with Moore’s law. Fundamental<br />

constrains of physics are getting closer and more important as<br />

devices are continuously downscaled. Therefore to make<br />

devices more robust and powerful architecture tricks and 3D<br />

integration technologies have to be exploited. Several research<br />

projects like e-Cubes [1] or Corona [2] and many other<br />

stimulate R&D efforts in such fields as design-flow speed-up<br />

accompanied by development of integration technology.<br />

The main idea of the e-Cubes project consists in assemble of<br />

the particular number of chip-modules into the one<br />

heterogeneous MEMS/MOEMS device assembled as a vertical<br />

stack of the electrically, thermally and mechanically connected<br />

modules. It is a 3D integration technique developed to make<br />

device as universal and robust as possible. Another aim is to<br />

reach the best device performance by means of applying the<br />

technology perfectly fitting customer device requirements. It is<br />

close to Corona project ideas to reduce time-to-market by<br />

optimizing the product engineering flow from an idea through<br />

the design to the final device fabrication. Knowledge based,<br />

spread cooperation designers and manufacturers framework<br />

should be ready to incorporate customer feedback and active<br />

cooperation in field of their idea hardware implementation. The<br />

Corona project research area covers innovations in integrated<br />

design methodology and production flow. Methodology and<br />

tools used for multidomain modeling and simulation are<br />

especially important for the product development process and<br />

device reliability control. From the economic point of view it is<br />

necessary to reduce costs by means of time and redundant<br />

design efforts reduction now necessary before the final<br />

technological run.<br />

II. MODELING AND SIMULATIONS<br />

Selected reliability issues like thermo-mechanical behavior<br />

of the whole integrated MEMS/MOEMS device and<br />

mechanical stress which spreads through the device substrate<br />

have been discussed in this paper. 3D device integration<br />

techniques relies on multi module stack formed of silicon<br />

slices, mechanically assembled by vertical connections [3, 4]<br />

that support mechanical integrity of 3D integrated device and<br />

provide both: electrical and thermal connections between<br />

stacked modules. Semiconductor memories, microprocessors,<br />

CMOS image sensors and various heterogeneous systems are<br />

the main application for 3D integration technologies<br />

incorporating vertical integrating elements supporting<br />

electrical, thermal and mechanical connections. Among several<br />

solutions developed in frames of eCubes project – though<br />

silicon via (TSV) formed from conducting materials like Cu,<br />

W, TiN can be applied for 3D heterogeneous integration.<br />

Single TSV is inserted as a nail into deep, narrow holes (high<br />

aspect ratio) etched through the silicon wafer substrate. Each<br />

TSV goes through the whole substrate. The quality of TSV<br />

affects the device reliability and performance. As the<br />

temperature distribution is dependent on heat dissipation in the<br />

device it is affected by TSV thermal parameters. It also<br />

introduces additional mechanical stress into the device and<br />

affects device reliability and performance. Fundamental<br />

parameters of semiconductor device like silicon<br />

crystallographic orientation, carrier density, band-gap width<br />

and carrier mobility affect the device performance. As the<br />

device reliability is dependent on mechanical stress<br />

distribution, it should be accurately modeled to predict and<br />

control the device performance. All above mentioned<br />

fundamental device physical parameters are affected by<br />

temperature and depend on mechanical stress distribution,<br />

which is yet another parameter to be taken into account for<br />

estimation of the device reliability.<br />

Fig. 1. Mechanical stress value spreads across the wafer. Affection on<br />

transistor parameters and performance varies in dependence of transistor<br />

and stress source locations.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 84<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Devices fabricated on wafers with TSV interconnecting<br />

elements are exposed to additional mechanical stress related to<br />

the IC layout and wafer shape (Fig. 1). This paper discusses<br />

various cases of stress distribution based on simulation results<br />

performed by Coventor [5] and TCAD [6] packages.<br />

A<br />

Fig. 3. Mechanical design drawing (3D model), without and with<br />

encapsulation [7].<br />

Even if all standalone (non 3D) modules have been correctly<br />

designed, simulated and would perfectly operate if assembled<br />

as standalone devices, if the device profits from 3D integration<br />

technique its functionality may be affected by various side<br />

effects not taken into consideration during design. Such a<br />

device not optimized for 3D integration during design process<br />

– may fail. 3D integrated device comprises various modules.<br />

Fig. 2. a) V T(P) - Threshold Voltage (V T) on Stress Tensor (P) sensitivity for<br />

various Stress Tensor-Channel Angle (α). NMOS; L=130[nm]; Shallow S/D;<br />

UDS=0.05[V] b) Threshold voltage variation for 350nm technology for<br />

various mechanical stress levels and various angles between mechanical<br />

force and source-drain direction<br />

It is especially important for analogue blocks and low noise<br />

amplifiers. Electrical simulations performed in TCAD show<br />

that digital blocks are more resistant to the mechanical stress<br />

then analogue ones. Sample simulations performed in TCAD<br />

for MNOS and PMOS transistors show that mechanical stress<br />

relative sensitivity of complementary MOS devices is<br />

complementary as presented on Fig. 2b. Selected results of<br />

TCAD simulations performed for simple digital blocks like<br />

inverters and NAND digital gates also have been performed.<br />

Simulated gates have been modeled for AMS 350nm<br />

technology. Simulation results confirm that for moderate levels<br />

of mechanical stress (mechanical stress level should not exceed<br />

the level of 500MPa) parasitic stress does not significantly<br />

affect transfer function of digital circuits.<br />

Modeling and simulation results of the integrated, intelligent<br />

health monitoring system 3D model [7] have been presented.<br />

Such a system is the e-Cubes project demonstrator sample.<br />

General idea is shown on the Fig. 3. Significant speedup and<br />

improvements of product development stages will be the profit<br />

of the project. From the reliability point of view thermomechanical<br />

behavior of the whole system is the most important<br />

parameter to be taken into consideration.<br />

B<br />

Fig. 4. 3D model of the e-Cubes demonstrator for health monitoring<br />

designed in CoventorWare with the mesh ready for multidomain modeling<br />

and simulation by FE methods.<br />

Each of them affects neighboring modules in thermal,<br />

mechanical, electrical, electromagnetic way. Probability that a<br />

single module affects another in its neighborhood (e.g. by high<br />

temperature transfer) is quite high. Therefore the module<br />

arrangement and its complex multidomain simulation are so<br />

important on each design stage.<br />

For this simulation 3D model designed in CoventorWare have<br />

been used (Fig. 4). 3D integration technique has been<br />

simulated along with applied, real thermo-mechanical<br />

boundary conditions like power dissipation present in different<br />

modules. Also selected mechanical issues regarding the<br />

encapsulation methodology have been done. Thermal<br />

simulations results show that under assumed boundary<br />

conditions temperature does not increase too much across the<br />

whole demonstrator device structure Sample results of the<br />

thermal investigation are shown on the Fig. 5.<br />

The aim of this part of the simulation was verification of the<br />

temperature distribution under high and low power operating<br />

modes. 300 K ambient temperature has been assumed as one of<br />

boundary conditions applied for this simulation as well as<br />

convection and radiation on the bottom and upper surfaces. For<br />

applied boundary conditions in the worst case temperature<br />

increases to 325 K for high power mode and 310 K for low<br />

power mode.<br />

Apart from the thermal phenomena mechanical properties of<br />

the device and used materials are important from reliability<br />

point of view, especially under higher range device<br />

temperatures. The main goal of performed simulation was to<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 85<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

check if and how big is mechanical displacement observed<br />

within the device for particular modes of the device fixing.<br />

Fix<br />

Applied boundary conditions have been the same as for high<br />

power mode. Analysis of displacement simulation results (see<br />

Fig. 6) lead to a following major conclusion: displacement and<br />

mechanical stress distribution are much lower if there are more<br />

fixed points applied inside the device.<br />

Fix<br />

A<br />

Temperature [K]<br />

High Power A Low Power<br />

Temperature [K]<br />

325,1<br />

325,0<br />

324,9<br />

324,8<br />

324,7<br />

324,6<br />

324,5<br />

324,4<br />

324,3<br />

324,2<br />

0 5000 10000 15000 20000<br />

Distance [um]<br />

Temperature [K]<br />

310,4<br />

310,4<br />

310,4<br />

310,4<br />

310,4<br />

310,4<br />

310,4<br />

310,4<br />

0 5000 10000 15000 20000<br />

Distance [um]<br />

B<br />

Fig. 5.Temperature distribution in high and low power modes: a) 3D<br />

model view; b) temperature distribution on the top-center surface of the<br />

3D model<br />

III. CONCLUSIONS<br />

Semiconductor devices are sensitive to the mechanical stress<br />

(Fig. 1, Fig. 2, Fig. 3). As it is dependent on the device<br />

temperature additional simulations it should be performed<br />

whether device will operate or fail due to the direct temperature<br />

parameters variation or indirect dependence accompanied by<br />

mechanical stress (Fig. 2). The complete design, verification,<br />

fabrication circle has been elaborated and is still under<br />

development. Several software loop components are still under<br />

research, development or testing. Finally it should improve the<br />

device fabrication field affected by deterministic and non<br />

deterministic environmental factors. One of deterministic is<br />

mechanical stress dependent on the device temperature.<br />

Complex multi domain simulation technique is a must for<br />

contemporary electronic design.<br />

ACKNOWLEDGMENT<br />

This work has been partially supported by the European<br />

Commission under support-number IST-026461 (European<br />

project: 3D Integrated Micro/Nano Modules For Easily<br />

Adapted Application, acronym e-CUBES [1]) and CP-FP<br />

213969-2 (European project: Customer-Oriented Product<br />

Engineering of Micro and Nano Devices, acronym<br />

CORONA [2]). We would like to thank e-Cubes project and<br />

CORONA project Partners for the excellent and fruitful<br />

collaboration.<br />

Displacement [µm]<br />

B<br />

Fig. 6. View of the two different fixation types (a) and view of the<br />

plastic deformation (plastic deformation factor x100! – b) and<br />

displacement [µm].<br />

REFERENCES<br />

[1] More information available at www.ecubes.org<br />

[2] More information available at www.corona-mnt.eu<br />

[3] P.Schneider, S.Reitz, A.Wilde, G.Elst, P.Schwarz, “Towards a<br />

Methodology for Analysis of Interconnect Structures for 3D-<br />

Integration of Micro Systems”, Proc. Symposium on Design, Test,<br />

Integration and packaging DTIP’07, Stresa, Italy (2007).<br />

[4] Bernhard Wunderle, Eberhard Kaulfersch, Peter Ramm, Bernd<br />

Michel and Herbert Reichl, “Thermo-Mechanical Reliability of 3Dintegrated<br />

Microstructures in Stacked Silicon”, Proc. 2006 MRS<br />

Fall Meeting, November 27 - December 1, 2006, Boston.<br />

[5] More information available at www.coventor.com<br />

[6] More information available at http://www.synopsys.com<br />

[7] Piet van Engen, Ric van Doremalen, Wouter Jochems, Ad<br />

Rommers, Shi Cheng, Anders Rydberg, Thomas Fritzsch, Jürgen<br />

Wolf, Walter De Raedt, Philippe Müller, Eduardo Alarcon, Mihai<br />

Sanduleanu, “3D Si-level integration in wireless sensor node”,<br />

Proceedings of the Smart Systems Integration 2009 conference,<br />

page 150-157, 10/11 March 2009, Belgium Brussels.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 86<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

A Temperature-Dependent POWER MOSFET Mode1 for Switching Application<br />

H. DIA 1,2 , J.B. Sauveplane 1 , P. Tounsi 1,2 , J-M. Dorkel 1,2<br />

1 CNRS; LAAS; 7 avenue du Colonel Roche, F-31077 Toulouse, France<br />

2 Université de Toulouse; UPS, INSA, INP, ISAE; LAAS; F-31077 Toulouse, France<br />

dia@laas.fr<br />

Abstract- in this paper, an electrical model of a power vertical<br />

MOSFET sensitive to temperature is proposed using VHDL-AMS<br />

code. Our modeling approach is based on basic physical MOSFET<br />

effect and on its technological structure. Thermal sensitivity of<br />

MOSFET parameters is discussed and characterized. Validation<br />

of the model accuracy is presented by comparison between<br />

simulations and experimental results. Among the benefits of this<br />

technique are fast simulation, good agreement between<br />

simulations and measurements and useful insights into thermal<br />

sensitivity of MOSFET performance in switching applications.<br />

This work is the first step to electro-thermal simulation of power<br />

device by simulator coupling.<br />

studies of the device [4] addressing basic equations in the<br />

semiconductor. Each area of the MOSFET structure shown on<br />

“Fig.1.”((1) Channel, (2) access, (3) PN - junction, (4) drift and<br />

(5) substrate) is described taking into account the main<br />

characteristics of the power device: linear, saturated behavior<br />

and non linearity of the gate-drain and drain-source<br />

capacitances.<br />

Keywords-modeling, power MOSFET, power diode, VHDL-AMS.<br />

I. INTRODUCTION<br />

Continuous improvement in power devices performances<br />

involves the reduction of their sizes which increases power<br />

losses density even in a same application context. As reliability<br />

relies most of the time on maximum temperature variation of<br />

the chip, electro-thermal simulation of a power device within<br />

its environment becomes a key tool to avoid re-design of a<br />

chip. In this context electro-thermal model has already been<br />

presented in literature [1]-[2], but the major drawbacks of<br />

previous model is that power device is assumed to be “ON<br />

state” during the simulation. This assumption is valid only if<br />

power switching losses are negligible or if switching behavior<br />

is not relevant to the application. To overcome these limitations<br />

a new approach has been developed based on simulator<br />

coupling to accurately simulate the electro-thermal behavior of<br />

the power device [3]. This paper is the first step toward this<br />

modeling approach as it presents an electrical model of a power<br />

vertical MOSFET, sensitive to temperature, using VHDL-AMS<br />

code. The power device studied is a low voltage vertical power<br />

MOSFET with ultra low on state resistance. The paper is<br />

divided in two parts. First the electrical model of the power<br />

device sensitive to temperature is detailed, based on basic<br />

physical MOSFET effect and on its technological structure.<br />

Then the extraction procedure to obtain the model parameter is<br />

presented and at the end a comparison between simulations and<br />

experimental result are shown for a power device in a<br />

switching application.<br />

II. THE POWER MOSFET MODEL<br />

A. The Electrical Model<br />

The modeling approach relies on experimental and simulation<br />

Fig. 1. Cross section of power MOSFET<br />

The body diode, P (body)/ N- (epitaxial layer) junction has also<br />

been implemented in the model. This diode allows the<br />

simulation of the transistor's reverse bias behavior. The model<br />

of the body diode is based on a previous work on the power<br />

PIN diode [5].<br />

Fig. 2. The body diode model<br />

This model “Fig.2.” takes into account the forward and reverse<br />

behavior of a diode and also the reverse recovery current<br />

phenomena caused by the charge stocked in the intrinsic region<br />

during the forward polarization. The forward phase is modeled<br />

by a resistor R on , which means that the forward polarization<br />

phase is a simple one “Fig.3.” And it’s sufficient enough to<br />

address the thermal issues, a conductance G off for the reverse<br />

phase and to model the leakage current; all this is compact in<br />

the IdealDiode model. The voltage depended current source Jc<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 87<br />

ISBN: 978-2-35500-010-2


is used to model the forward polarization capacitor.<br />

7-9 October 2009, Leuven, Belgium<br />

The current source I ds is responsible of the linear and saturation<br />

state of the transistor,<br />

I<br />

I<br />

DS<br />

DS<br />

⋅ ( Vcom<br />

− Vth<br />

) − ⋅VI DS<br />

) ⋅VI<br />

DS<br />

( V −V<br />

) 2<br />

= Kp<br />

1 (2)<br />

2<br />

= ⋅<br />

(3)<br />

Kp<br />

2 com th<br />

Fig. 3. The forward polarization of the body diode<br />

I<br />

J c<br />

= K.<br />

V L<br />

(1)<br />

This current “(1),” depends of the drop voltage of the<br />

inductance L and magnified by a constant K, during the<br />

transient blocking stage of the diode the inductance L energy<br />

will discharge in the resistor R L , and the current source Jc will<br />

deliver a reverse current simulating the reverse state current of<br />

this diode, an example of this reverse current simulation is<br />

shown on “Fig.4.”, in this paper we will show only a<br />

simulation of the reverse current because the body diode of our<br />

MOSFET DUT is a very fast one, it have a very low reverse<br />

current, and it doesn’t vary in a significant matter with<br />

temperature.<br />

Fig. 4.Simulation of the diode current during commutation for two given<br />

temperature, the dotted curve(blue) is at 150°C and the normal one is at<br />

25°C<br />

By using this model as a body diode we have a complete power<br />

MOSFET electrical model “Fig.5.”. We should mention that<br />

the C ds capacitor is the reverse polarization capacitor of the<br />

diode model play the role of the drain-source capacitor and this<br />

capacitor is a non linear with voltage as mentioned above.<br />

Fig. 5. Power MOSFET electrical & thermo-sensible model for switching<br />

circuits.<br />

“(2),” describe the linear phase knowing that<br />

I DS<br />

is the drop<br />

voltage of the current source Ids and V com is the command<br />

voltage. “(3),” describe the saturation phase<br />

B. Temperature dependents parameters<br />

The expansion of the electrical model into a thermal sensible<br />

one is done by introducing the temperature as a variable into<br />

the equations of the electrical parameters in the electrical<br />

model. The first step is to identify the electrical parameters<br />

influenced by the temperature in a way that the electrical<br />

behavior of the MOSFET is changed. These parameters are<br />

(Kp, V th , R sub , R g , R s , R on , I ss , V knee ) where Kp is the<br />

transconductance parameter and V th is the threshold voltage,<br />

R epi , R sub , R g , R s are the epitaxial resistor, substrate resistor,<br />

gate resistor, source resistor of the MOSFET. Ron is the<br />

forward phase resistor, I ss is the leakage current, and V knee is<br />

the threshold voltage of the diode. We don’t need to include<br />

the capacitors as temperature dependent because it doesn’t<br />

vary much with temperature [6]. The extraction of the<br />

temperature dependent parameters has been done by<br />

electrical characterization of a power MOSFET and the body<br />

diode under different temperature imposed by the air flux of<br />

the thermo stream.<br />

III. MODEL’S PARAMETER EXTRACTION AND VALIDATION<br />

A. Parameter extraction<br />

The model parameters were carefully identified based on<br />

simulations and an automatic experimental acquisition method<br />

developed in our laboratory which is sufficiently general to be<br />

applied to Power MOSFET devices. The experimental<br />

measurements are done under different temperature using the<br />

thermo-stream (25°C, 50°C, 75°C…175°C), We faced a<br />

serious problem for temperature above 175°C; the<br />

experimental dispositive melted down cause’s short circuit, so<br />

the temperature dependent equations are valid between 25°C<br />

and 175°C. The determination of the static parameters Kp<br />

“(4),” transconductance parameter, the threshold voltage V th<br />

“(5),” and the series resistor R ON “(6),” is done by measuring<br />

the transfer characteristics and the output characteristics using<br />

the Agilent HP4142.<br />

Kp = 244 − 0.7( T − 25) (4)<br />

−3<br />

V th<br />

= 2.6 − 3.3e<br />

( T − 25) (5)<br />

−6<br />

3 −4<br />

2 −4<br />

R on<br />

= 1.0e<br />

( T −25)<br />

−2.0e<br />

( T −25)<br />

+ 1.9e<br />

( T −25)<br />

+ 1.97 (6)<br />

For the diode parameters, they are represented by the following<br />

equations,<br />

−3<br />

V Knee<br />

= 0.7 − 2.0e<br />

( T − 25) (7)<br />

V<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 88<br />

ISBN: 978-2-35500-010-2


−2<br />

−11<br />

7.7e<br />

( T −25)<br />

I<br />

ss<br />

= 4.0e<br />

Exp<br />

(8)<br />

7-9 October 2009, Leuven, Belgium<br />

All the equations mentioned above are the result of the<br />

polynomial interpolation of the extracted different parameters<br />

points from measurement under different temperature.<br />

Values of the dynamic parameters (capacitance) are<br />

experimentally obtained by measuring the input (C iss = C gs +<br />

C gd ), output (C oss = C ds + C gd ) and reverse transfer (C rss = C gd )<br />

capacitances. Drain-source capacitance is then obtained by<br />

calculating (C oss - C rss ). Gate-Source capacitance is obtained by<br />

calculating (C iss - C rss ): this capacitance is kept constant due to<br />

the negligible variation by comparing it with the variation of<br />

C gd and C ds . We used the equation of the variation of a transient<br />

diode capacitor “(9),” as a model for C gd and C ds .<br />

V −m<br />

CT<br />

= CJ<br />

(1 − )<br />

(9)<br />

0 VJ<br />

C J0 is the capacitance values at zero polarization, V J is diffusion<br />

voltage of the junction and m is the coefficient of graduality.<br />

But for the current equation of the capacitor we don’t use the<br />

conventional equation “(10),” that has been used in spice and<br />

other kind of non linear MOSFET capacitor modeling, we use<br />

an equation of a variable capacitor “(11),” with Q “(12),” is the<br />

charges of the capacitor.<br />

i = CT<br />

dv dt (10)<br />

i =<br />

dQ<br />

(11)<br />

dt<br />

Q = C V (12)<br />

T<br />

With “(11),” we gain a lot in precision and we could fit almost<br />

perfectly our simulation with the measurement we made.<br />

“Fig.6” shows a comparison between two simulations, the<br />

dotted curves shows a commutation using “(10),” and the<br />

normal curves shows a more rapid commutation using “(11),”<br />

Fig.7. the influence of the non linear capacitor equation(zoom)<br />

The Blue curves are the current of the MOSFET, the green<br />

curves are the gate to source voltage and the red curves are the<br />

drain to source voltage.<br />

B. Validation of the approach<br />

The best way to validate our model is to compare our<br />

simulations with measured data obtained from the power<br />

device in a switching circuit. This circuit is shown on “Fig.8.”<br />

Fig.8. Experimental circuit for switching application<br />

This circuit consists of a V bat : DC power supply “HP6671A”,<br />

pulse: 13V, delay(10µs), width (50µs), the gate resistor R g<br />

(100Ω), a limiting current resistor R ch (0.33Ω), a pull down<br />

resistor R pulldown (10kΩ) and an inductor L (1µH). The circuit is<br />

exposed to the hot air flux of the thermo-stream and the data<br />

are collected for each temperature, starting at 25°C ending at<br />

175°C, with 25°C as a step. The simulation has been done<br />

using System Vision professional 5.0 simulator.<br />

Comparison between simulation and measurement are shown<br />

on “Fig.9.” and “Fig.10.”. One can see that there is a nearly<br />

perfect match between them.<br />

Fig.6. the influence of the non linear capacitor equation<br />

A Further zoom “Fig.7” shows in a clear way the delay to cut<br />

off the current of the MOSFET device, which will affect the<br />

power and the energy dissipated in the device. Furthermore we<br />

see that the overvoltage phenomena is affected also because the<br />

real variable capacitor equation“(11),” is more rapid than the<br />

conventional one“(10),” Finally, the simulation time for the<br />

two capacitor equations isn’t the same, the simulation time is<br />

doubled when we use“(11),” .<br />

Fig.9. Commutation simulation (dotted) vs. measurement across MOSFET at<br />

25°C<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 89<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

IV.<br />

CONCLUSION<br />

Fig.10. Commutation simulation (dotted) vs. measurement across MOSFET<br />

at 150°C<br />

Although the two figures look identical there is a subtle<br />

difference between them, this difference is illustrated on<br />

“Fig.11.” a Comparison between measurement at 25°C and<br />

150°C are shown, using the drain to source voltage at the end<br />

of the MOSFET commutation.<br />

In this paper, an electrical model using VHDL-AMS code of a<br />

power vertical MOSFET sensitive to temperature has been<br />

shown. The modeling approach and the thermal sensitivity of<br />

MOSFET parameters have been discussed. This model is<br />

simple one, the equation used to model the electrical and the<br />

thermal issues are easy to code with any simulator, the non<br />

linear capacitor equation used is more accurate and rapid than<br />

the conventional one used with other models. Finally this<br />

model will be used as a unit for a distributed electro-thermal<br />

simulation, and each unit will give us the image of the local<br />

temperature of the modeled device, which will give us an idea<br />

for the current distribution in the ship and hotspots. Validation<br />

of the model accuracy has been shown. So this work is the first<br />

step to electro-thermal simulation of power device by simulator<br />

coupling.<br />

Fig.11. Drain to source voltage measurement across MOSFET at 25°C and<br />

150°C (dotted)(zoom on oscillations)<br />

The only significant difference between simulation and<br />

measurement is on the final phase “Fig.12.” it’s clear that the<br />

measurement oscillations are more rapidly damped, this is due<br />

to the skin effect.<br />

REFERENCES<br />

[1] JB.Sauveplane et al., “Smart 3-D Finite-Element Modeling for the<br />

Design of Ultra-Low On-Resistance MOSFET”, IEEE Transactions<br />

on Advanced Packaging, Nov. 2007, V30-4,pp 789-794.<br />

[2] JB.Sauveplane et al., “3D electro-thermal investigations for<br />

reliability of ultra low ON state resistance power MOSFET”,<br />

Microelectronics Reliability, V48 - 8-9, Sep. 2008, pp 1464-1467<br />

[3] S.Wünche, “Simulator Coupling for Electro-Thermal Simulation Of<br />

Integrated Circuits”, Therminic’96, 1996, pp 89-93.<br />

[4] F.Morancho, “Modling and performance of vertical trench<br />

MOSFET in power electronics”, Semiconductor Conference, 1995.<br />

CAS'95 Proceedings.<br />

[5] C.Batard ;T.MEYNARD ;H.FOCH ;J.L.MASSOL<br />

“Circuit oriented simulation of power semiconductor using<br />

success.Application, to diodes and bipolar transistors”. EPE’91,<br />

Florence.<br />

[6] David Divins, “Using Simulation to Estimate MOSFET Junction<br />

Temperature in a Circuit Application”. International Rectifier,<br />

October 2007.<br />

Fig.12. Drain to source voltage across MOSFET at 150°C (zoom on<br />

oscillations)<br />

The skin effect causes the effective resistance of the conductor<br />

to increase with the frequency of the current. We used between<br />

35 to 40 cm of a copper conductor cable for the connections<br />

between the circuit elements, that’s mean there is enough cable<br />

length in our circuit that the effective resistance change in the<br />

cables affect the hall circuit performance. The oscillations<br />

frequency is high (more than 1 MHz), so it’s normal that the<br />

resistor increase, and the measured oscillations are damped<br />

than the simulation due to this effective resistor, which had not<br />

been taken into consideration in the simulation circuit .<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 90<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Crack Tip Localization of Sub-critical Crack Growth<br />

by Means of IR-Imaging and Pulse Excitation<br />

D. May 1 , B. Wunderle 1,3 , R. Schacht 1,2 , B. Michel 1<br />

1 MicroMaterials Center Berlin, Fraunhofer IZM, Berlin, Germany Email: daniel.may@izm.fraunhofer.de<br />

2 Hochschule Lausitz (FH), Senftenberg, Germany<br />

3 TU Chemnitz, Germany<br />

Abstract- Taking the advantage of the thermo-elastic effect, while<br />

using an infrared camera system, the mechanical stress could be<br />

made visible. The stress concentrations at the tip of a subcritical<br />

crack growth could clearly be detected. Through the observation<br />

during the periodic loading of a CT-specimen the crack growth<br />

rate can be determined. For this purpose, a specially developed<br />

loading stage will be presented. It is now possible to have further<br />

investigation in material class of polymers, which is very<br />

important in the field of system integration. First promising<br />

results will be presented.<br />

I. INTRODUCTION<br />

Today’s need for fast and reliable technology development<br />

including further miniaturization, functionality and complexity at<br />

lower cost is a trend that will continue [1]. To assure reliability for<br />

advanced packages presupposes the understanding and description<br />

of failure mechanisms and to be able to apply them to large models<br />

[2]. For e.g. power packages delamination of the die-attach or<br />

encapsulant is known to be a problem which needs optimization<br />

[3]. Then for e.g. System-in-Package solutions, the number of<br />

interfaces and thus possible delamination sites has increased a<br />

situation where numerical lifetime prediction methods also face the<br />

challenge of availability of critical parameters for materials and<br />

material pairings. These parameters in general are given as energy<br />

release rates for fracture-mechanical treatment and depending on<br />

process conditions, moisture and temperature. These values are<br />

usually had to be measured because they are not readily available<br />

from manufacturer’s datasheets.<br />

Modern Finite Element (FE) tools allow the programming of<br />

routines to calculate energy release rates as failure criterion for a<br />

possible crack in a rather short time [4]. However, the bottle neck is<br />

a rapid and yet accurate determination of critical and undercritical<br />

data for crack growth.<br />

In the past, many different setups have been proposed to study<br />

crack growth ([5,6]). Most of them need complicated methods to<br />

determine the crack length under external loading conditions in<br />

respect to the specimen geometry and the real process conditions.<br />

As for most designs the precise crack length is needed for an<br />

energy release rate and phase angle determination from finite<br />

element simulations, here a new method is proposed using the<br />

transient thermal response of the specimen under different loading<br />

conditions by infrared thermography [7].<br />

The IR thermography is becoming increasingly important for<br />

non-destructive testing of microelectronic components and<br />

structures on the chip, package and board level. Besides the use of<br />

pulse thermography for determining the temperature of thermal<br />

conductivity according to Parker [8] or for detection of<br />

delaminations in micro-electronic thin-film assemblies, and the<br />

degradation of electrical vias in printed circuit boards [9,10] the<br />

lock-in thermography is also used to detect shorts in integrated<br />

circuits. Müller [11] has shown that the lock-in thermography can<br />

be used in investigation of fracture and cracking behavior of steel<br />

components using the thermo-elastic effect [12]. To investigate in<br />

crack propagation in solids, among others, a so called Compact<br />

Tension (CT) specimen is used. If the subcritical crack growth is<br />

examined the sample with strain amplitude less than the critical has<br />

to be loaded periodically. During the experiment the length of the<br />

growing crack must be measured by appropriate methods.<br />

These experiments are carried out, in specially designed testing<br />

equipment. Due to the high costs often only a few of such<br />

machines are available. Therefore long experimental periods are<br />

being developed for a material such as to be characterized under<br />

different temperatures and loads.<br />

To gain even more quickly usable material parameters, e.g. at an<br />

early product development phase and to make a choice of materials<br />

a design of a novel strain apparatus should be as simple and yet as<br />

precise as necessary to carry out several parallel investigations.<br />

This work focuses on the adaptation of the stress analysis on<br />

materials of micro-electronics (e.g. in the system integration very<br />

important material class of polymers).<br />

A. Theoretical Basics<br />

In the early 19th Century, J. Gough [13] observed that the<br />

temperature of a polluted materials changes. The correlation<br />

between mechanical stress and temperature change has J. Thomson<br />

[12] in 1853 first recognized in theory and published. According to<br />

Stanley Chan and Biot [14, 15] the link between material<br />

parameters, state of stress of the sample and the temperature change<br />

can be described as follows:<br />

∆ <br />

· <br />

··∆ (1)<br />

where T is the absolute temperature, α is the coefficient of<br />

thermal expansion, ρ the density, c p , heat capacity (at constant<br />

pressure) and Δ (σ1 + σ2 + σ3) the change in the sum of principal<br />

stress (hydrostatic stress).<br />

Here with positive values of the principal stresses (tensile stress)<br />

a cooling and with negative values (compressive load) a warming<br />

take place in the material.<br />

With the development of modern infrared measurement in the<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 91<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

1960s it became possible to measure temperature changes C. Experimental Setup<br />

contactless and without feedback on the specimen. With currently To investigate the stress field near the crack tips a suitable<br />

available IR detectors high geometric (


7-9 October 2009, Leuven, Belgium<br />

electromagnetic actuator (B) is connected to modulate driving<br />

DC-Mean value (M)<br />

force. The point of force application is variable, so that a scaling of<br />

force is adjustable from 1 / 10 to 1 / 3.<br />

The driving force can be measured with a load cell placed in the<br />

load path and also by measuring the electrical current of actuator.<br />

30 µm<br />

To measure the strain amplitude directly at the sample a<br />

1 mm<br />

displacement transducer (C) is placed.. With this setup it is possible<br />

to load the sample (A) mechanically and observe the specimen by<br />

IR-camera (E) at a narrow working distance of about 20 mm. An<br />

external PC controls and measures all important values. Both force<br />

and displacement controlled experiments can be conducted.<br />

120 µm<br />

Dynamic loads (triangular, sinusoidal and pulsed) with frequencies<br />

1 mm<br />

up to 5 Hz and forces to 450 N on the sample can be realized.<br />

So it is possible to build a cost burden system.<br />

In a laser safety enclosure this design enables crack tracing by<br />

means of laser pulse excitation.<br />

150 µm<br />

II. EXPERIMANEL RESULTS<br />

Loading amplitude<br />

1 mm<br />

Phase image(P)<br />

1 mm<br />

1 mm<br />

1 mm<br />

In an initial investigation CT-specimen of PMMA were loaded<br />

sinusoidal, with different amplitudes (30, 120, 150 and 250<br />

microns) at a frequency of 0.5 Hz. In figure 4 the observed<br />

(correlation of reference signal and image signal) uniform surface<br />

cooling at the notch groove is shown. There are blue to white areas<br />

of cooling and red to bright yellow areas of warming. The result is<br />

similar in quality as the estimation given in figure 1 (right).<br />

250 µm<br />

1 mm<br />

1 mm<br />

Fig. 5 Phase images and DC-mean value image for different loadings<br />

Figure 5 shows a summarized comparison of results of initial<br />

investigation. The load amplitude was varied from top to bottom<br />

(30 ... 250 microns). The respective left-hand images show the DC<br />

average images. They show qualitatively similar results except of<br />

the smallest load amplitude. Lower DC values are founded with<br />

increasing load. This is expected<br />

by increasing the stresses (σ 1 , σ<br />

2 , σ 3 ) and consequently an improved cooling too. Much more<br />

sensitive are the phase images shown on the right. They are from+<br />

π to - π (3.12 ...- 3.13). Negativee values mean a lagging signal with<br />

respect to the reference signal (here, the sinusoidal stress<br />

amplitude). This behavior is by<br />

equation (1) expected. In tensile<br />

(positive reference signal is the sum of principal stress is positive<br />

and thus results in a negative Δ T. This means a negative surface<br />

signal. Both signals are phase-shifted by 180 ° (-π). This<br />

relationship is clearly seen in the<br />

diagram in Figure 6.<br />

Fig. 4 CT-specimen of PMMA loaded with 30 µm<br />

(without pre crack)<br />

To increase the stress concentration a crack<br />

was introduced with<br />

an industrial razor blade. Taking into account the resulting pixel<br />

resolution of approximately 5.2 microns / pixel of IR-images<br />

a ~ 420 microns crack length can estimate.<br />

surface signal<br />

6450<br />

6440<br />

6430<br />

6420<br />

6410<br />

6400<br />

0,04<br />

0,02<br />

0,00<br />

reference signal<br />

6390<br />

0 100 200<br />

index<br />

300 400 500<br />

of image<br />

Fig. 6 Phase shift between reference signal (displacement transducer)<br />

and surface signal (250 µm load amplitude)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 93<br />

ISBN: 978-2-35500-010-2


III. SUMMARY<br />

In this study it was shown that the temperature changes caused<br />

by the use of thermo-elastic effect can be used to locate areas of<br />

tension in order to localize crack tips. A specially designed loading<br />

device was developed and its suitability was demonstrated.<br />

In the next step the limits and the precision of this method has to<br />

be determined. An automated algorithm to locate the crack tip must<br />

be implemented to perform a full crack propagation experiment.<br />

To assess the competing of elastic/ inelastic effects, a field coupled<br />

FE-simulation has to be performed, to take the transient<br />

temperature behavior into account.<br />

ACKNOWLEDGMENT<br />

The authors appreciate the support of the EU FP 7 Integrated<br />

Projekt “Nanopack”. The authors would also like to acknowledge<br />

the Federal Ministry of Education and Research for financial<br />

support (Program: Entrepreneurial Regions 03IP510). Special thank<br />

to Mr. A. Sattelmayer from TU-Berlin and his team, who ensured<br />

the high quality implementation of the load stage.<br />

REFERENCES<br />

[1] Semiconductor Industry <strong>Association</strong>, “The<br />

international technology roadmap for semiconductors,” Dez.<br />

2007.<br />

[2] S. Deplanque, W. Nuchter, B. Wunderle, R. Schacht,<br />

und B. Michel, “Lifetime Prediction of SnPb and SnAgCu<br />

Solder Joints of Chips on Copper Substrate Based on Crack<br />

Propagation FE-Analysis,” Proc. 7th International Conference<br />

on Thermal, Mechanical and Multiphysics Simulation and<br />

Experiments in Micro-Electronics and Micro-Systems<br />

EuroSime 2006, 2006, S. 1–8.<br />

[3] B. Wunderle und B. Michel, “Lifetime modelling for<br />

microsystems integration: from nano to systems,” Microsystem<br />

Technologies, vol. 15, 2009, S. 799-812.<br />

[4] J. Auersperg, M. Klein, und B. Michel, “Optimization<br />

of Electronics Assemblies towards Robust Design under<br />

Fracture, Delamination and Fatigue Aspects,” Electronic<br />

Packaging Technology, 2007. ICEPT 2007. 8th International<br />

Conference on, 2007, S. 1-7.<br />

[5] L. Ernst, A. Xiao, B. Wunderle, K. Jansen, und H.<br />

Pape, “Interface Characterization and Failure Modeling for<br />

Semiconductor Packages,” Electronics Packaging Technology<br />

Conference, 2008. EPTC 2008. 10th, 2008, S. 808-815.<br />

[6] G. Schlottig, H. Pape, B. Wunderle, und L.J. Ernst,<br />

“Induced Delamination of Silicon-Molding Compound<br />

Interfaces,” Delft: 2009.<br />

[7] D. May, B. Wunderle, M. Ras, W. Faust, A. Gollhard,<br />

R. Schacht, und B. Michel, “Material characterization and nondestructive<br />

failure analysis by transient pulse generation and<br />

IR-thermography,” Thermal Inveatigation of ICs and Systems,<br />

2008. THERMINIC 2008. 14th International Workshop on,<br />

2008, S. 47-51.<br />

7-9 October 2009, Leuven, Belgium<br />

[8] W.J. Parker, R.J. Jenkins, C.P. Butler, und G.L.<br />

Abbott, “Flash Method of Determining Thermal Diffusivity,<br />

Heat Capacity, and Thermal Conductivity,” Journal of Applied<br />

Physics, vol. 32, 1961, S. 1679-1684.<br />

[9] R. Schacht, M. Abo Ras, D. May, B. Wunderle, und<br />

B. Michel, “Non-Destructive Tests for Via<br />

Structures in Organic Multi Layer PCBs,” Brussels, Belgien:<br />

2009.<br />

[10] R. Schacht, B. Wunderle, D. May, B. Michel, und H.<br />

Reichl, “Modelling guidelines and non-destructive analysis for<br />

thermal and mechanical behaviour of via-structures in organic<br />

boards,” Proc. 11th Intersociety Conference on Thermal and<br />

Thermomechanical Phenomena in Electronic Systems ITHERM<br />

2008, 2008, S. 441–449.<br />

[11] Müller, L., ThermoStrain: Entwicklung eines neuen<br />

Verfahrens zur Dehnungsanalyse beanspruchter Stahlbauteile,<br />

Der Andere Verlag, 2005.<br />

[12] W. Thomson, “On the dynamical theory of heat,”<br />

Trans. Roy. Soc. Edinburgh, vol. 20, 1853, S. 261–283.<br />

[13] J. Gough, “A description of a property of Caoutchouc,<br />

or India rubber; with some reflections on the cause of the<br />

elasticity of this substance,” Memoirs of the Literary and<br />

Philosophical Society of Manchester, 1805, S. 288-295.<br />

[14] M.A. Biot, “Thermoelasticity and Irreversible<br />

Thermodynamics,” Journal of Applied Physics, vol. 27, März.<br />

1956, S. 240-253.<br />

[15] P. STANLEY und W. CHAN, “Quantitative stress<br />

analysis by means of the thermoelastic effect,” The Journal of<br />

Strain Analysis for Engineering Design, vol. 20, Juli. 1985, S.<br />

129-137.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 94<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Thermal matching of a thermoelectric energy<br />

harvester with the environment and its application in<br />

wearable self-powered wireless medical sensors<br />

V. Leonov 1 , P. Fiorini 1 , T. Torfs 1 , R. J. M. Vullers 2 , C. Van Hoof 1<br />

1<br />

IMEC<br />

Kapeldreef 75<br />

3010 Leuven, Belgium<br />

2<br />

Holst Centre / IMEC<br />

High Tech Campus 31<br />

Eindhoven 5656 AE, The Netherlands<br />

Abstract-In this work, we discuss why classical thermoelectric<br />

theory is not enough to design an optimized energy harvester.<br />

Then, the general conditions are defined, which are required to<br />

make a thermoelectric converter effective in such application.<br />

The necessity of the work has been prompted by the fact that<br />

while modeling the harvesters neither the constant temperature<br />

difference, nor the heat flow cannot be assumed. We show that<br />

simple equations obtained using electro-thermal analogy allow<br />

optimization of energy harvesters to reach their top<br />

performance characteristics. Thermal matching in MEMS<br />

thermopiles is discussed then. The examples of application<br />

thermally matched thermopiles for powering state-of-the-art<br />

wearable wireless sensors are discussed in the end.<br />

I. INTRODUCTION<br />

Powering wireless autonomous sensors by using energy<br />

harvesters could move such devices into mass production.<br />

Batteries and wiring are excluded as unrealistic ways. One<br />

thousand wireless sensors per each person is a current vision<br />

of the future wireless sensor network called “ambient<br />

intelligence”. These should be self-powered, preferably<br />

using photovoltaic cells. The thermoelectric conversion of<br />

wasted heat from low temperature sources however is the<br />

best way to provide power autonomy to the devices in<br />

locations, where no daylight and indoor illumination take<br />

place. However, because of energy saving reasons, wasted<br />

heat flows are usually minimized with the use of thermally<br />

isolating materials. Thermoelectric conversion of waste heat<br />

is complicated by the high thermal resistance of the heat sink<br />

(air) and, frequently, of the heat source (e.g., walls of<br />

buildings, plastic pipes, or living beings). The remaining<br />

heat flows and temperature differences available for energy<br />

harvesting are therefore relatively small. However, these<br />

wasted heat flows can be used for eliminating the need of<br />

primary batteries in most of autonomous devices placed<br />

inside buildings, machinery, or in closed compartments, and<br />

forming smart self-organizing autonomous networks. This<br />

paper discusses the principles of designing thermoelectric<br />

generators optimized for energy harvesting on lowtemperature<br />

sources of waste heat. As a proof of concept,<br />

the examples of fully self-powered wearable medical devices<br />

are designed, fabricated and briefly described.<br />

II. THERMOELECTRIC THEORY AND OPTIMIZED<br />

THERMOPILE<br />

In the thermoelectric theory, the optimization of a<br />

thermopile in power generation mode is discussed for two<br />

basic regimes of operation: (i) the heat flow through the<br />

thermopile, W, is constant, i.e., independent of its thermal<br />

resistance R tp<br />

and (ii) the temperature drop on the thermopile,<br />

ΔT, is constant, solid lines in Figs. 1a and 1b, respectively.<br />

There are also the second-order effects that discussed in the<br />

theory. These are Joule heating of thermopiles due to<br />

generated current and Peltier effect. However, these effects<br />

are minor and become important only in case of hightemperature<br />

operation (more than 100°C) and low serial<br />

thermal resistance of the environment, R env , therefore in the<br />

following discussion of energy harvesters we omit these<br />

effects for the sake of simplicity. The power generated by a<br />

thermopile on the matched load in this case is<br />

P = V 2 /4r el = α 2 ΔT 2 /4r el , (1)<br />

Fig. 1. Two regimes of a thermopile operation in power generation mode:<br />

(a) constant heat flow; R tp > R env .<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 95<br />

ISBN: 978-2-35500-010-2


where V is open-circuit voltage, r el is the resistance of the<br />

thermopile and load, and α is Seebeck coefficient. The<br />

Figure Of Merit, Z, that quantifies thermoelectric quality of<br />

the material is defined as<br />

Z = α 2 σ / k = α 2 R th /r el , (2)<br />

where σ is conductivity, k is thermal conductivity, and R th is<br />

thermal resistance. By substituting (2) in (1) and introducing<br />

a heat flow as W = ΔT/R th , (1) can be rewritten as<br />

P = WZΔT/4 , (3)<br />

where ZΔT/4 is thermodynamic efficiency. The best<br />

thermoelectric materials for low-temperature energy<br />

harvesting show a Z of about 0.003 K -1 . Therefore,<br />

conversion efficiency of thermoelectric generators is at least<br />

by a factor of 4 less than the Carnot efficiency. Equation (3)<br />

is useful for the analysis of two basic regimes of operation of<br />

a thermopile, Figs. 1a, 1b. In Fig. 1a, W is constant, so the<br />

only ΔT in (3) is variable. As a result, the power is<br />

proportional to R th and there is no optimum unless R th → ∞.<br />

When ΔT is constant, Fig. 1b, the power is proportional to<br />

R -1 th . Again, no optimum can be found except R th → 0. The<br />

two limits contradict to each other therefore there must be a<br />

kind of transitional regime between the regimes illustrated in<br />

Figs. 1a, 1b. Because no optimum can be found, the<br />

practical aspects affect the design choice instead of pure<br />

theory, i.e., technological limitations, material properties,<br />

required and feasible dimensions of the device, irreversible<br />

losses and parasitic effects.<br />

While optimization is conducted in case of W = const.<br />

(Fig. 1a), thermal resistance can be increased either by<br />

increasing the length of thermopile legs, l, or decreasing<br />

their lateral dimension, t, Fig. 2. In both cases, the<br />

technology becomes the barrier. In case of optimization at<br />

ΔT = const. (Fig. 1b), thermal resistance can be decreased<br />

either by decreasing the length of thermopile legs or<br />

increasing their lateral dimension. In both cases, the<br />

interfaces start to dominate, e.g., the contact resistance<br />

between metal interconnects and semiconductor legs, and the<br />

thermal resistance of interfaces to the heat source and sink.<br />

7-9 October 2009, Leuven, Belgium<br />

Furthermore, certain variations are observed on practice at<br />

“constant” either heat flow or temperature difference due to<br />

(i) above practical limitations and (ii) non-perfect both heat<br />

sources and heat sinks. The effect is qualitatively shown in<br />

Figs. 1a, 1b as dashed lines. Suspecting that a smooth<br />

transition must take place from Fig. 1a to Fig. 1b, one may<br />

qualitatively connect these Figs. as shown in Fig. 1 (dotted<br />

lines). This area between Figs. 1a and 1b seems to be the<br />

most interesting regime. Indeed, the optimization at W =<br />

const. takes place to the right of Fig. 1a while optimization at<br />

ΔT = const. takes place to the left of Fig. 1b. The reader<br />

may also pay an attention at (3), which states that power is<br />

proportional to the product of W by ΔT. Therefore, not<br />

much power is produced at maximum thermodynamic<br />

efficiency, Fig. 1b, while maximum power is not produced at<br />

maximum heat flow either.<br />

III. THERMOPILE AT VARIABLE BOTH HEAT FLOW AND<br />

TEMPERATURE DIFFERENCE<br />

Basing on above discussion, Figs. 1a and 1b are combined<br />

in one Fig. 3. X-axis is replaced with the ratio of the thermal<br />

resistance of thermoelectric generator (TEG), R TEG<br />

, to the one<br />

of the environment, R env<br />

. Indeed, not the absolute value of<br />

the thermal resistance of thermopile allows constant either<br />

heat flow or temperature difference, but the ratio R TEG<br />

/R env<br />

.<br />

The heat flow is constant in Fig. 1a because it is fully limited<br />

by R env<br />

(in case of energy harvesters). In Fig. 1b, constant<br />

ΔT is provided by the environment with very low thermal<br />

resistance. Once R TEG<br />

approaches from either side to R env<br />

,<br />

neither W nor ΔT can remain constant and change as shown<br />

by dashed lines in Figs. 1a, 1b. Using (3), the reader can<br />

already qualitatively plot the dependence of power, Fig. 3.<br />

According to the thermal circuit, Fig. 2, the ΔT observed<br />

between the heat source and heat sink cannot appear on the<br />

thermopile because of non-zero value of R env<br />

. The task of<br />

this Section is to find the temperature difference on the<br />

thermopile, ΔT tp , corresponding to the power maximum.<br />

Based on electro-thermal analogy, Fig. 2, R TEG<br />

must be<br />

comparable to or larger than the one of the serial thermal<br />

resistor R env<br />

. (This is to obtain large voltage on R tp<br />

in an<br />

electrical circuit, or to obtain large ΔT tp in a thermal circuit.)<br />

This also means that while optimizing the device, i.e.,<br />

changing its thermal resistance, neither constant temperature<br />

metal<br />

n<br />

l<br />

R cold R TEG R hot<br />

p<br />

t<br />

Fig. 2. Thermoelectric generator (TEG) and its thermal circuit. The thermal<br />

resistance of the environment is composed of a thermal resistance between<br />

(i) the heat sink or (ii) the point where heat is generated and corresponding<br />

metal-semiconductor p-n junction plane of a thermopile. Any additional<br />

TEG elements (such as screws or a sealing sidewall on the perimeter of<br />

thermopile) thermally interconnecting the two plates, as well as air between<br />

them and radiation, are denoted as R pp (parallel parasitic thermal resistance).<br />

ΔT/ΔTmax; W/W max; P/Pmax<br />

1<br />

0.8<br />

0.6<br />

0.4<br />

0.2<br />

0<br />

W tp P<br />

ΔT tp<br />

0.01 0.1 1 10<br />

R TEG /R env<br />

Fig. 3. Normalized heat flow through a thermopile (R tp), the temperature<br />

difference on it, and the power. Location of maxima can be at the other<br />

R TEG/R env. The curves end on the left at complete filling of a TEG (fixed<br />

size) with thermocouples, and on the right, with the only one thermocouple.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 96<br />

ISBN: 978-2-35500-010-2


difference on the TEG, nor constant heat flow through the<br />

TEG can be assumed. Let us consider a simplified case of a<br />

TEG, assuming that R env<br />

is independent of temperature (e.g.,<br />

in case of small ΔT) and that only air contributes to R pp<br />

, so<br />

that R pp<br />

= R air<br />

= const. We assume that hot and cold plates<br />

have the area A. The two resistors in a TEG, Fig. 2, are:<br />

l<br />

Rtp = , (4) and<br />

l<br />

Rair<br />

= , (5)<br />

ktpa<br />

kair<br />

( A − a)<br />

where l is also the distance between the plates, k tp<br />

and k air<br />

are<br />

the thermal conductivities of thermoelectric material and air,<br />

respectively, and a is the area of thermoelectric material in<br />

the plane parallel to the plates. The temperature drop on the<br />

thermopile is:<br />

R<br />

Δ , (6)<br />

T<br />

TEG<br />

tp = ΔT<br />

Renv<br />

+ RTEG<br />

which can be re-written using the thermal conductance of the<br />

environment G env<br />

= 1/R env<br />

as:<br />

G l<br />

Δ T T<br />

env<br />

tp = Δ<br />

. (7)<br />

G l + k a + k ( A − a)<br />

env<br />

By substituting (7) into (1), the power on the matched<br />

electrical load is:<br />

2<br />

2<br />

2<br />

env<br />

α ΔT<br />

G l<br />

a<br />

P = ⋅<br />

, (8)<br />

4ρ<br />

2<br />

[( G l + K A)<br />

+ a(<br />

k − k )]<br />

env<br />

where ρ is resistivity. The optimum value of a depends on<br />

the environment and equal to a opt = (G env l + k air A)/(k tp – k air ),<br />

so that the power maximum can be expressed using R env and<br />

R empty = l / k air A as:<br />

P<br />

max<br />

2 2<br />

α ΔT<br />

ρ ( ktp<br />

− kair<br />

tp<br />

air<br />

1<br />

= .<br />

, (9)<br />

16 ) (1 + R / R ) R<br />

env<br />

air<br />

tp<br />

empty<br />

where R empty denotes the thermal resistance of the same TEG,<br />

but with no thermocouples between the plates, i.e., of the<br />

empty TEG; this is its parasitic thermal resistance.<br />

Substituting a opt into (7), the optimal temperature difference<br />

ΔT tp , corresponding to the maximal power, is:<br />

ΔT<br />

Δ Ttp,<br />

opt =<br />

. (10)<br />

2 (1 + Renv<br />

/ Rempty<br />

)<br />

Equation (10) shows that if R env /R empty


V. THERMAL MATCHING IN LOW-DIMENSIONAL<br />

THERMOPILES<br />

Equation (9) states that a thermopile of any size produces<br />

the same power if R env /R empty and R env stay the same. It also<br />

shows two complementary ways to increase power. First,<br />

the thermal resistance of empty TEG must be maximized<br />

thereby providing R env /R empty much less or at least less than<br />

one and, second, the thermal resistance of the environment<br />

must be decreased as much as possible.<br />

An energy harvester is considered to be a small device that<br />

can be attached (glued, screwed) to the heated or cooled<br />

surface. Therefore, at least from one of its sides, either cold<br />

or hot one, the heat must flow into/from the ambient air. In<br />

general, it is typically almost still air, so the heat transfer<br />

coefficient at a small available temperature difference, ΔT, is<br />

very small. The temperature difference between the ambient<br />

air and the outer side of a TEG contacting it will be further<br />

decreased according to (10) even on a heated machine with a<br />

near-zero R hot , Fig. 2. This is because the temperature<br />

difference on the optimized thermopile could reach ΔT/2.<br />

The easiest way to decrease the thermal resistance of a heat<br />

sink in such energy harvester is to provide it with a radiator.<br />

If the latter is considered to be too bulky, e.g., in a thin TEG,<br />

the outer plates of the TEG must be made large enough to<br />

provide low R env .<br />

The parasitic thermal resistance of the air inside the TEG<br />

can be maximized by filling it with a gas having low thermal<br />

conductivity, and at lower pressure, or in a vacuum.<br />

However, the vacuum-tight encapsulation can provide lower<br />

R pp than air-filled thermopile with no such encapsulation.<br />

Furthermore, in mass production, it would adversely affect<br />

the production cost. The microelectronic and film-based<br />

technologies, in principle, could decrease the production cost<br />

of thermopiles. Let us calculate what would happen with<br />

power maximum if a thermopile of the same design as in<br />

Fig. 2 is scaled down. Of course, the body of the TEG with<br />

two large outer surfaces must not be decreased because R env<br />

must be kept as low as possible to maximize the power, (9).<br />

To give a numerical example, we will calculate scaling of<br />

the thermopile down in a wearable TEG. In such a device,<br />

the heat source (human body) with a deep body core<br />

temperature of 37°C is assumed to have a constant (for<br />

simplicity) thermal resistance of 300 cm 2 K/W. The heat<br />

sink (the ambient air) at a temperature of 22°C also has a<br />

constant thermal resistance of 700 cm 2 K/W. Such thermal<br />

resistance can be provided by forced convection (some wind,<br />

a fan, or on a walking person) or in a still air if a cold plate is<br />

replaced with the fin- or pin-featured radiator with a feature<br />

height of a few millimeters. Therefore, ΔT = 15°C and<br />

R env = R hot + R cold = 10 3 cm 2 K/W. For the modeling, the<br />

following characteristics of thermoelectric materials are<br />

taken: a Z of 3 × 10 -3 K -1 , a resistivity of 1 mΩ cm and a<br />

thermal conductivity of 0.015 W/cm K. We assume a size of<br />

3 cm × 3 cm for the plates of a TEG located at 1.5 cm<br />

distance from each other. Then, on the TEG area, R env = 110<br />

K/W and R empty = 640 K/W, so that R env /R empty = 0.17 and the<br />

both power and ΔT tp reach about 85% of theoretically<br />

possible maxima, (9) and (10). The distance between<br />

7-9 October 2009, Leuven, Belgium<br />

adjacent thermocouples is assumed to be equal to the lateral<br />

dimension of legs, t, so that the thermocouple leg occupies<br />

an area of 2t × 2t. While the thermopile is scaled down, it<br />

occupies smaller area on the hot plate. To provide effective<br />

heat transfer to the cold plate, the thermopile is connected to<br />

the cold plate through the thermally conducting pillar with a<br />

cross section of 2 mm × 2 mm, Fig. 4. The thermopiles with<br />

l ≤ 0.1 mm occupy area less than the cross section of the<br />

pillar. Therefore, for such thermopiles, the pillar end is<br />

made of pyramid shape. At last, it is assumed in the<br />

modeling that a thermopile must produce 1 V on the<br />

matched load.<br />

The results of scaling the thermopile in the TEG are<br />

shown in Figs. 5, 6. After initial drop of R pp caused by<br />

decreased distance between the tip of the pillar and the<br />

opposite plate, Fig. 5, R pp stays practically the same. Ideally<br />

it would stay constant even at l = 1 μm [1] however in our<br />

calculations, we have assumed a metal-semiconductor<br />

contact resistance of 100 Ω μm 2 . As a result, at l < 300 μm,<br />

the resistance of the thermopile rapidly increases, Fig. 5.<br />

According to modeling results, at l = 1 μm, it would increase<br />

to 90 kΩ, i.e, by a factor of 30 as compared with 1.5 cm-long<br />

thermopile (dotted line in Fig. 5), and the power would<br />

decrease by the same factor. To prevent this power loss, in<br />

the thermocouples with l < 30 μm, a metal-semiconductor<br />

a b c<br />

Fig. 4. Scaling of a thermopile in a TEG: (a) classic thermopile with high<br />

l/t ratio to be scaled down, (b) a pillar interconnects the outer TEG plate<br />

with one of the plates of a thermopile (medium l/t ratio), (c) at the end of<br />

scaling, microelectronics technology can be used because l/t ratio is small.<br />

Thermal resistance (K/W)<br />

1000<br />

100<br />

10<br />

R pp<br />

R tp<br />

ΔT tp<br />

0.001 0.01 0.1 1 10 100<br />

Length of thermocouple legs (mm)<br />

100<br />

Fig. 5. Optimum values of thermal resistances in the TEG and the<br />

temperature drop on the thermopile, and its resistance in a wearable TEG<br />

of 3 cm × 3 cm × 1.7 cm size at a contact resistance of 100 Ω μm 2<br />

between semiconducting legs and metal interconnects.<br />

r<br />

10<br />

1<br />

r (kΩ); ΔTtp ( o C)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 98<br />

ISBN: 978-2-35500-010-2


Power (μW);<br />

Number of thermocouples .<br />

1500<br />

1000<br />

500<br />

0<br />

n/2<br />

l /t<br />

0.001 0.01 0.1 1 10 100<br />

Length of thermocouple legs (mm)<br />

interface area of 10 × 10 μm 2 is assumed (fixed) while t<br />

decreases to 2 μm at l = 1 μm. (On practice, this is done by<br />

using microelectronic technologies [2].) At l = 1 μm, the<br />

resistance still increases by a factor of 4 as compared with<br />

1.5 cm-long thermopile. If the contact resistance could be<br />

decreased to 10 Ω μm 2 , it would allow reaching over 200<br />

μW at l = 10 μm (i.e., about 64% of the power obtainable at<br />

l = 15 mm), and 180 μW at l = 3 μm. Calculations show that<br />

the thermal resistance of the thermopile and the temperature<br />

drop on it stay almost the same over the whole range for a<br />

thermocouple length, Fig. 5, unless the enlargement of<br />

electrical contacts is performed at l < 10 μm. This<br />

enlargement also adversely affects the parasitic thermal<br />

resistance R empty at l < 10 μm, Fig. 5, and demands reoptimizing<br />

the thermal resistance of a thermopile using the<br />

equation of thermal matching. To compensate for some<br />

decrease of ΔT at l < 10 μm due to enlargement of electrical<br />

contacts, a number of thermocouples, equal to n/2, is<br />

increased, Fig. 6. The ratio l / t shown in Fig. 6 demonstrates<br />

that the length of thermocouple legs in the range of 5-10 μm<br />

allows reaching relatively high power using microelectronic<br />

technologies. The related research is ongoing and 6 μm-tall<br />

structures have been already fabricated, Fig. 7 [3]. However,<br />

the structures are based on poly-SiGe that shows far worse<br />

thermoelectric properties than BiTe used in the modeling<br />

above, i.e., its factor Z is about 0.05-0.1, at least by a factor<br />

of 10 less. Therefore, one more step is required for reaching<br />

the calculated targets, i.e., development of the similar<br />

technological process, but based on materials with higher Z.<br />

P<br />

7-9 October 2009, Leuven, Belgium<br />

100<br />

VI. APPLICATION OF THERMAL MATCHING IN<br />

WEARABLE MEDICAL DEVICES<br />

10<br />

1<br />

0.1<br />

Fig. 6. Optimum number of thermocouples, l / t ratio and maximum power<br />

in a wearable TEG of 3 cm × 3 cm × 1.7 cm size at a contact resistance of<br />

100 Ω μm 2 between semiconducting legs and metal interconnects.<br />

Al<br />

p-SiGe<br />

n-SiGe<br />

3 μm<br />

Fig. 7. Arcade thermopile: the design and fabricated thermopile-like test<br />

structure with 6 μm-tall released air bridges [3].<br />

l / t ratio<br />

Small-size thermopiles available on the market do not fit<br />

the requirements for the thermocouple leg length coming out<br />

of the modeling of an optimal thermopile because their l / t<br />

ratio is much smaller than needed. An appropriate aspect<br />

ratio then can be obtained by stacking thermopiles on top of<br />

each other [4, 5]. This increases R TEG<br />

to the required R TEG,opt<br />

and hence allows reaching the maximum of output power.<br />

Before fabricating prototypes of body-powered medical<br />

devices, the principles of thermal matching have been<br />

verified on watch-size wrist TEGs [4, 5]. Then, one of such<br />

TEGs has been used for powering a wireless pulse oximeter<br />

(SpO 2<br />

sensor) [6], Fig. 8a. The device non-invasively<br />

measures oxygen content in arterial blood. A TEG used in<br />

this device provides a power of about 200 µW on average<br />

with usual variations within the 100-600 µW range.<br />

Typically, battery-powered pulse oximeters existing on the<br />

market consume above 10 mW. Therefore, before making it<br />

powered from the human body, a power consumption of<br />

electronics has been reduced by a factor of 10 3 . As a result,<br />

the electronics module and 2.4 GHz wireless link together<br />

consume 62 µW. The device is battery-less and operational<br />

up to 25-26°C. At higher ambient temperatures, the power<br />

shortages are expected. If this happens, the device switches<br />

into a sleeping regime for a while. During sleep, its power<br />

consumption is extremely low and the device wakes up again<br />

upon collecting enough charge in the supercapacitor.<br />

Another example of body-powered battery-less devices is<br />

an electroencephalography (EEG) system-in-a headband [7]<br />

consuming 0.8 mW. The main challenges in creation such<br />

complex system powered by the wearer’s heat are (i)<br />

lowering power consumption of biopotential readout while<br />

maintaining the signal quality and (ii) real-time data<br />

transmission. In the above pulse oximeter, the signal<br />

processing is performed onboard, so that power consumed<br />

by the transceiver is minimal. In EEG, on the contrary, realtime<br />

brain waveform should be transmitted, so the radio<br />

consumes large power, and a large-size TEG is required.<br />

Therefore, it is designed as 10 sections of 2×4 cm 2 size on a<br />

stretchable headband, Fig. 8b. Radiators on the outer side of<br />

thermopiles ensure effective thermal matching of the TEG<br />

with the environment. The TEG is designed for indoor use at<br />

typical ambient temperatures maintained in hospital wards.<br />

At 22°C, it produces about 30 µW/cm 2 , i.e., close to the limit<br />

of power on people at this temperature. There is however a<br />

drawback of such high power generation: at lower ambient<br />

temperatures, the heat flow rapidly exceeds the sensation of<br />

discomfort and the device turns into uncomfortably cold<br />

object. (For example, at 19°C, the TEG already produces<br />

3.7 mW, but sensation of cold becomes too annoying.)<br />

To avoid sensation of cold, another version of the EEG<br />

system, a headphone-like EEG diadem, Fig. 8c, has been<br />

provided with a hybrid thermoelectric-photovoltaic energy<br />

harvester, [7]. This device is comfortable down to 7°C.<br />

The electrocardiography (ECG) system-in-a-shirt, Fig. 8c,<br />

unlike above devices, is powered from a secondary battery<br />

[8]. The battery is constantly recharged using the wearer’s<br />

body heat. The power consumption is 0.5 mW, so about<br />

0.7 mW are required from the TEG. Fourteen 6.5 mm-thin<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 99<br />

ISBN: 978-2-35500-010-2


Fig. 8. Low-power wireless medical sensors powered by thermally matched<br />

thermoelectric generators: (a) a pulse oximeter, (b) EEG headband with 2.5<br />

mW-TEG, (c) a person wearing an EEG diadem with hybrid power supply,<br />

an SpO 2 sensor, ECG system-in-a-shirt, and a TEG with micromachined<br />

poly-SiGe thermopile (still produces very small power).<br />

TEG modules of 3 cm × 4 cm size have been integrated into<br />

the front side of shirt. The radiators of TEG modules have<br />

been painted like chameleon into the shirt colors, except one<br />

module that is to show the module size. The wiring and the<br />

other modules of ECG system are located on the inner side<br />

of the shirt. In the office, the TEG typically generates the<br />

power of 0.8-1 mW. Because of high thermal resistance of<br />

thermally matched TEG modules, they are never cold. In<br />

cold weather, the other pieces of clothing are worn on top of<br />

shirts. However, as measured at about 10°C outdoors on a<br />

person wearing a thick jacket, the power typically does not<br />

decrease. The power management module contains a fully<br />

integrated DC/DC upconverter that charges a 2.4 V NiMH<br />

battery. The converter contains a charge pump with variable<br />

number of stages and switching rate, and therefore operates<br />

with near-maximum efficiency. In parallel to the TEG<br />

power circuit there is a secondary parallel circuit that allows<br />

charging the battery directly from solar cells. Two<br />

amorphous silicon solar cells of 2.5 cm × 4 cm size each<br />

have been integrated into the shirt on its shoulders. Solar<br />

cells are added to the system because if the shirt is not worn<br />

for months, the battery can be discharged. Therefore, when<br />

the shirt is taken off and not used for a long time, it must be<br />

stored in an environment where light is available<br />

periodically, e.g., in a wardrobe with windows. The small<br />

power provided by solar cells is enough to compensate for<br />

the self-discharge of the battery and for the standby power.<br />

In this way, even after months of non-use, the electronics is<br />

maintained in the ready-to-start state, waiting for the<br />

moment the shirt is used again. The system components,<br />

i.e., a TEG, solar cells and electronics in a flex circuit, have<br />

waterproof encapsulation and sustain machine washing with<br />

drying cycle at 1000 rpm. If the voltage from the TEG drops<br />

to near-zero, which happens when the shirt is taken off, the<br />

system switches into a standby regime with 1 μW power<br />

consumption. The self-start of the system takes place within<br />

a few seconds while the shirt is being put on again.<br />

7-9 October 2009, Leuven, Belgium<br />

VII. CONCLUSION<br />

The thermoelectric theory does not describe how to<br />

perform design optimization of a thermopile in energy<br />

harvesters. Therefore, all the works on micromachined<br />

thermopiles for harvesting low-grade heat waste have<br />

resulted in thermopile samples producing power insufficient<br />

for a majority of practical applications. The reasons for that<br />

are relatively high thermal resistance of the environment,<br />

and variable both heat flow and temperature difference on<br />

the thermopile under optimization. However, according to<br />

the literature, the optimizations were always conducted at a<br />

constant ΔT, i.e., in a quite different regime. As discussed in<br />

this work, a new approach based on electro-thermal analogy<br />

helps to find design optimum. This optimum is described by<br />

the thermal matching of a thermopile to the environment.<br />

The optimum is located just between the two regimes<br />

discussed in the thermoelectric theory, i.e., the regime of<br />

constant heat flow and the regime of constant temperature<br />

difference. The latter allows highest thermoelectric<br />

efficiency however as has been shown in this work, the<br />

power maximum takes place at about a half of efficiency.<br />

The method of thermal matching discussed in this paper<br />

has been successfully used in wearable wireless medical<br />

sensors: a pulse oximeter, EEG systems and the ECG system<br />

integrated into a shirt.<br />

ACKNOWLEDGMENT<br />

The work has been performed in 2005-2009 within the<br />

internal Human++ program at IMEC and Holst Centre on<br />

wearable wireless sensor networks.<br />

REFERENCES<br />

[1] V. Leonov, “Thermal shunts in thermoelectric energy scavengers,”<br />

Journal of Electronic Materials, vol. 38, no. 7, pp. 1483-1490,<br />

2009.<br />

[2] Z. Wang, V. Leonov, P. Fiorini, and C. Van Hoof, “Realization of a<br />

wearable miniaturized thermoelectric generator for human body<br />

applications,” Sensors and Actuators A: Physical, 2009, (in press),<br />

DOI: 10.1016/j.sna.2009.02.028.<br />

[3] J. Su, R. J. M. Vullers, M. Goedbloed, Y. van Andel, R. Pellens, C.<br />

Gui, V. Leonov, and Z. Wang, “Process development on largetopography<br />

microstructures for thermoelectric energy harvesters,”<br />

Proc. 8th PowerMEMS + microEMS Workshop, Sendai, Japan,<br />

November 9-12, 2008, pp. 365-368.<br />

[4] V. Leonov, T. Torfs, N. Kukhar, C. Van Hoof, and R. Vullers,<br />

“Small-size BiTe thermopiles and a thermoelectric generator for<br />

wearable sensor nodes,” Proc. 5 th Eur. Conf. on Thermoelectrics,<br />

Odessa, Ukraine, September 10-12, 2007, pp. 76-79.<br />

[5] V. Leonov, T. Torfs, P. Fiorini, and C. Van Hoof, “Thermoelectric<br />

converters of human warmth for self-powered wireless sensor<br />

nodes,” IEEE Sensors J., vol.7, no.5, pp. 650-657, 2007.<br />

[6] T. Torfs, V. Leonov, and R. Vullers, “Pulse oximeter fully powered<br />

by human body heat,” Sensors and Transducers Journal, vol. 80,<br />

no. 6, pp. 1230-1238, 2007; http://www.sensorsportal.com/<br />

HTML/DIGEST/P_151.htm.<br />

[7] M. Van Bavel, V. Leonov, R. F. Yazicioglu, T. Torfs, C. Van Hoof,<br />

N. E. Posthuma, R. J. M. Vullers, “Wearable battery-free wireless<br />

2-channel EEG systems powered by energy scavengers,” Sensors &<br />

Transducers Journal, vol. 94, no. 7, pp. 103-115, 2008;<br />

http://www.sensorsportal.com/HTML/DIGEST/P_300.htm.<br />

[8] V. Leonov, T. Torfs, I. Doms, R. F. Yazicioglu, Z. Wang, C. Van<br />

Hoof, and R. J. M. Vullers, “Wireless body-powered<br />

electrocardiography shirt,” Proc. 3 rd European Conf. Smart Systems<br />

Integration, Brussels, Belgium, March 10-11, 2009, VDE VERLAG<br />

GMBH: Berlin, T. Gessner, Ed., pp. 307-314, 2009.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 100<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Boiling Heat Transfer and Flow Regimes in<br />

Microchannels – a Comprehensive Understanding<br />

Suresh V. Garimella and Tannaz Harirchian<br />

Cooling Technologies Research Center<br />

School of Mechanical Engineering and Birck Nanotechnology Center<br />

Purdue University<br />

West Lafayette, IN 47907-2088 USA<br />

sureshg@purdue.edu<br />

Abstract - Although flow boiling in microscale passages has<br />

received much attention over the last decade, the<br />

implementation of microchannel heat sinks operating in the<br />

two-phase regime in practical applications has lagged due to the<br />

complexity of boiling phenomena at the microscale. This has led<br />

to difficulties in predicting the heat transfer rates that can be<br />

achieved as a function of the governing parameters. From<br />

extensive experimental work and analysis conducted in recent<br />

years in the authors’ group, a clear picture has emerged that<br />

promises to enable prediction of flow boiling heat transfer over<br />

a wide parameter space. Experiments have been conducted to<br />

determine the effects of important geometric parameters such<br />

as channel width, depth, and cross-sectional area, operating<br />

conditions such as mass flux, heat flux and vapor quality, as<br />

well as fluid properties, on flow regimes, pressure drops and<br />

heat transfer coefficients in microchannels. High-speed flow<br />

visualizations have led to a detailed mapping of flow regimes<br />

occurring under different conditions. In addition, quantitative<br />

criteria for the transition between macro- and micro-scale<br />

boiling behavior have been identified. These recent advances<br />

towards a comprehensive understanding of flow boiling in<br />

microchannels are summarized here.<br />

I. INTRODUCTION<br />

Boiling in microchannels and minichannels has been<br />

investigated extensively in recent years. Flow boiling<br />

regimes have been visualized and heat transfer rates and<br />

pressure drops compared to those in larger-scale channels.<br />

Two-phase flow under adiabatic conditions has also been<br />

studied. As noted in the review by Garimella and Sobhan<br />

[1], most of the recent literature on boiling in microchannels<br />

is aimed at electronics cooling applications and is focused on<br />

assessing and correlating the heat transfer coefficient,<br />

pressure drop, and critical heat flux; however, these studies<br />

have not arrived at comprehensive predictive correlations or<br />

design guidelines for microchannels in two-phase operation.<br />

While the dependence of flow characteristics and heat<br />

transfer on channel geometry, operating conditions, and fluid<br />

properties has been investigated in a number of studies, only<br />

limited success has been achieved in generalizing results<br />

from specific studies to a wide range of microchannel<br />

parameters as demonstrated quantitatively in [2]. In a recent<br />

review of flow boiling in small channels by Bertsch et al.<br />

[3], many conflicting trends were observed for dependence<br />

of boiling heat transfer on geometrical and flow parameters.<br />

Garimella and Sobhan [1] noted similar discrepancies<br />

between the results from various single-phase microchannel<br />

studies in the literature and related these conflicting trends to<br />

entrance and exit effects, nonuniformity of channel<br />

dimensions and differences in surface roughness,<br />

thermophysical property variations, nature of the thermal<br />

and flow boundary conditions, and uncertainties and errors<br />

in instrumentation, measurement and measurement locations.<br />

These reviews demonstrate the clear need for systematic<br />

studies which carefully consider different parameters<br />

influencing transport in microchannels, since a reliable<br />

prediction of the heat transfer rates and pressure drops in<br />

microchannels is not possible for design applications such as<br />

microchannel heat sinks due to the diversity in the results in<br />

the literature.<br />

A review of the literature shows that the influence of a<br />

number of the governing parameters, such as microchannel<br />

geometry, mass flux, heat flux, vapor quality and fluid<br />

properties, on flow boiling heat transfer were not clearly<br />

elucidated. In addition, issues such as flow instability, flow<br />

reversal, and large pressure drops were considered as<br />

potential impediments to practical implementation. Also,<br />

despite the large number of empirical correlations proposed<br />

for the prediction of boiling heat transfer and pressure drop<br />

in microchannels, robust design criteria for microchannel<br />

heat sinks are as yet unavailable due to the applicability of<br />

these correlations being limited to narrow ranges of<br />

experimental conditions [3, 4].<br />

Recent experimental investigations and analyses in the<br />

authors’ group [3-17] have led to a more comprehensive<br />

understanding of the physical mechanisms and parameter<br />

dependencies in microchannel flow boiling, which promises<br />

to enable prediction of flow boiling heat transfer over a wide<br />

parameter space. Experiments have been conducted to<br />

determine the effects of important geometric parameters,<br />

operating conditions and fluid properties, on the flow<br />

regimes and thermal performance of microchannels. The<br />

present work summarizes detailed flow regime maps<br />

developed via high-speed flow visualizations.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 101<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Nondimensional parameters which govern the occurrence<br />

and extent of flow confinement, as well as quantitative<br />

criteria for the transition between macro- and micro-scale<br />

boiling behavior are presented. These recent advances<br />

towards a comprehensive understanding of flow boiling in<br />

microchannels are summarized here. Flow instability and<br />

flow reversal, as well as means for their mitigation, are also<br />

discussed.<br />

II. EXPERIMENTS<br />

Several different substrates and fluids have been<br />

investigated in the experiments in the authors’ group. The<br />

experiments conducted with a perfluorinated dielectric<br />

liquid, FC-77, using silicon test pieces with imbedded<br />

microscale temperature sensors are described here; other test<br />

facilities utilizing copper substrates and water and<br />

refrigerants as the working fluid are described in [5, 6, 8].<br />

The silicon test pieces are used to study flow patterns, local<br />

heat transfer coefficients, and pressure drop during flow<br />

boiling in microchannels over a wide range of flow and<br />

geometric parameters. Only key features of the experiments<br />

are explained here; more details of the test section assembly,<br />

flow loop, and calibration procedures are available in<br />

Harirchian and Garimella [5].<br />

A. Experimental Setup<br />

The test loop consists of a magnetically coupled gear<br />

pump, a preheater installed upstream of the test section to<br />

heat the coolant to the desired subcooling temperature, and a<br />

water-to-air heat exchanger located downstream of the test<br />

section to cool the fluid before it enters a reservoir. The<br />

liquid is fully degassed before initiating each test using two<br />

degassing ports and the expandable reservoir. Details of the<br />

expandable reservoir design and the degassing procedure are<br />

available in Chen and Garimella [10]. A flow meter with a<br />

measurement range of 20-200 ml/min monitors the flow rate<br />

through the loop and five T-type thermocouples are utilized<br />

to measure the fluid temperature at different locations in the<br />

loop. The pressure in the outlet manifold of the test section<br />

is maintained at 1 atmosphere. The pressure in the inlet<br />

manifold and the pressure drop across the microchannel<br />

array are measured using a pressure transducer and a<br />

differential pressure transducer, respectively.<br />

Heater and<br />

temperature<br />

sensor leads<br />

Silicon microchannel<br />

heat sink with<br />

integrated heaters<br />

and temperature<br />

sensors<br />

Fig. 1. A representative microchannel test chip.<br />

The microchannel test piece shown in Fig. 1 consists of a<br />

12.7 mm × 12.7 mm silicon substrate mounted on a printed<br />

circuit board. Parallel microchannels of rectangular crosssection<br />

are cut into the top surface of the silicon chip using a<br />

dicing saw. A polycarbonate top cover positioned above the<br />

test piece and sealed with an O-ring provides enclosed<br />

passages for the liquid through the microchannels.<br />

Twelve test pieces, with microchannel widths ranging<br />

from 100 μm to 5850 μm and depths ranging from 100 μm to<br />

400 μm, are included in the experimental investigation. The<br />

aspect ratio and hydraulic diameter of the microchannels in<br />

the different test pieces take values from 0.27 to 15.55 and<br />

96 μm to 707 μm, respectively. The width (w), depth (d),<br />

and number (N), along with the hydraulic diameter (D h ),<br />

aspect ratio (w/d), and single channel cross-sectional area<br />

(A cs ) of the microchannels in each test piece are provided in<br />

[11]. The average roughness of the bottom wall of the<br />

microchannels ranges from 0.8 to 1.4 μm for the different<br />

test pieces as measured by an optical profilometer; the<br />

bottom wall of the 100 μm-wide microchannels has a lower<br />

average roughness of 0.1 μm since a single dicing cut was<br />

used in their fabrication. The surface roughness of<br />

microchannel side walls is 0.1 μm as measured by a probetype<br />

profilometer.<br />

A 5 × 5 array of individually addressable resistance heat<br />

sources is fabricated on the underside of the silicon chip. In<br />

the present work, a uniform heat flux is provided to the base<br />

of the microchannels. Also, a like array of temperaturesensing<br />

diodes facilitates local measurements of the base<br />

temperature. For a given current passing through a diode<br />

temperature sensor, the voltage drop across the diode<br />

determines the wall temperature. Details of the integrated<br />

resistance heaters and diode temperature sensors, as well as<br />

the procedures used to calibrate the heaters and sensors, are<br />

provided in [9].<br />

Experiments are conducted with the 12 test pieces to study<br />

the effects of microchannel dimensions on the boiling heat<br />

transfer and flow patterns for four mass fluxes ranging from<br />

225 to 1420 kg/m 2 s. For each test, the liquid is driven into<br />

the loop at a constant flow rate and preheated to<br />

approximately 92°C, providing 5°C of subcooling at the inlet<br />

of the channels. While the flow rate and the inlet fluid<br />

temperature are kept constant throughout the test, the<br />

uniform heat flux provided to the chip is incremented from<br />

zero to the point at which the maximum wall temperature<br />

reaches 150°C, which is the upper limit for the safe<br />

operation of the test chips. Heat flux values approaching<br />

critical heat flux are not used in the experiments since the<br />

corresponding temperatures could cause the solder bumps in<br />

the test chip to fail.<br />

At each heat flux and after the system reaches a steady<br />

state, high-speed visualizations are performed<br />

simultaneously with the heat transfer and pressure drop<br />

measurements. Movies of the flow patterns are captured at<br />

various frame rates ranging from 2,000 frames per second<br />

(fps) to 24,000 fps, with the higher frame rates used for the<br />

smaller microchannels at the larger heat and mass fluxes.<br />

The images obtained from the camera are then postprocessed<br />

using a MATLAB [18] code developed in-house<br />

to enhance the quality of the images, especially for those<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 102<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

captured at higher frame rates.<br />

B. Flow Instabilities<br />

Chen and Garimella [10] investigated the effect of<br />

dissolved air on flow boiling of FC-77 in microchannels<br />

using a carefully designed degassing scheme. Their study<br />

showed that dissolved air significantly affects both heat<br />

transfer and pressure drop in microchannels. They also<br />

observed larger flow instabilities in terms of pressure drop<br />

fluctuations for the undegassed liquid.<br />

In all the rest of the experiments conducted in the authors’<br />

group, the liquid in the test loop is fully degassed before<br />

initiating each test to help minimize flow instabilities. Also,<br />

a throttling valve positioned upstream of the test section<br />

serves to suppress instabilities in the microchannel heat sink.<br />

Mild flow reversals were still observed at the inlet of the<br />

microchannels at the highest heat fluxes studied, for<br />

microchannels of cross-sectional area 0.144 mm 2 and<br />

smaller. However, these instabilities did not affect the inlet<br />

fluid temperature, which is held constant throughout each<br />

test.<br />

C. Data Reduction<br />

The local heat transfer coefficient, h , is calculated from<br />

h = q′′<br />

w<br />

/ ( Tw − Tref<br />

)<br />

(1)<br />

where T is the local mean fluid temperature in the singlephase<br />

region and the liquid saturation temperature in the<br />

ref<br />

two-phase region, and T w<br />

is the local microchannel wall<br />

temperature. The heat flux used in (1) is the wall heat flux<br />

and is defined as<br />

( )<br />

q′′ = q / A /25<br />

(2)<br />

w net t<br />

where q<br />

net<br />

is the net heat transfer rate to the fluid and A<br />

t<br />

is<br />

the total heated area of the microchannels, with L being the<br />

microchannel length:<br />

t<br />

( 2 )<br />

A = N w+ d L<br />

(3)<br />

The calculated local heat transfer coefficients presented<br />

here are based on measurements from the temperature sensor<br />

located along the centerline of the test piece near the flow<br />

exit. Flow visualizations are also reported from this<br />

location.<br />

Important nondimensional parameters often used in flow<br />

boiling include Reynolds number, Re, Bond number, Bo, and<br />

Boiling number, Bl. Reynolds number is calculated using<br />

the liquid phase mass flux as:<br />

Re = GD / μ<br />

(4)<br />

where G is the liquid mass flux and μ is the dynamic<br />

viscosity of the liquid. Bond number represents the ratio of<br />

buoyancy force to surface tension force and assumes<br />

importance in microscale boiling:<br />

( ) 2 f g<br />

/<br />

Bo = g ρ − ρ D σ<br />

(5)<br />

here, ρ<br />

f<br />

and ρ<br />

g<br />

are the density of the liquid and vapor<br />

phases, respectively, and σ is the liquid surface tension.<br />

As demonstrated in Harirchian and Garimella [11], the<br />

channel cross-sectional area plays a critical role in<br />

determining microchannel boiling mechanisms and heat<br />

transfer; therefore, the length scale used in (4) and (5) is the<br />

square root of the cross-sectional area of one channel rather<br />

than its hydraulic diameter. Boiling number is the<br />

nondimensional form of the heat flux and is calculated using<br />

the liquid mass flux and latent heat, , as follows:<br />

h<br />

Bl q′′<br />

/ Gh<br />

w<br />

fg<br />

fg<br />

= (6)<br />

Following a standard uncertainty analysis [19], the<br />

uncertainties associated with the wall heat flux and the heat<br />

transfer coefficient are estimated to be 2 to 4% and 2.2 to<br />

4.8%, respectively, for the cases considered. Details of the<br />

measurement uncertainties are discussed in [11].<br />

IV.<br />

RESULTS AND DISCUSSION<br />

A. Flow Visualizations<br />

In Harirchian and Garimella [12], flow visualizations were<br />

performed with simultaneous heat transfer measurements<br />

during flow boiling in microchannels of different sizes for<br />

different flow rates. Five major flow regimes of bubbly,<br />

slug, churn, wispy-annular, and annular flow, and a postdryout<br />

regime of inverted-annular flow were identified in<br />

these microchannels, for which representative visualization<br />

images are provided in Fig. 2. Detailed descriptions of these<br />

regimes and the changes in flow regimes with microchannel<br />

size and mass flux are discussed in detail in [12].<br />

Fig. 3 shows a summary of the existing flow regimes at<br />

different microchannel sizes and mass fluxes. It is seen that<br />

in the smaller microchannels and at lower mass fluxes,<br />

bubbly flow is not established; instead, slug flow is observed<br />

for low heat fluxes. In slug flow, elongated vapor bubbles<br />

are confined within the channel cross-section and are<br />

separated from the walls by a thin liquid layer. As the heat<br />

flux is increased, an alternating churn and confined annular<br />

flow appears in these microchannels. In confined annular<br />

flow, the vapor core occupies the whole cross-section of the<br />

microchannels and is separated from the walls by a thin<br />

liquid film.<br />

As the channel cross-sectional area or the mass flux<br />

increases, bubbly flow is observed at low heat fluxes. In the<br />

bubbly flow regime, bubbles are smaller relative to the cross<br />

section of the channels and confinement is not observed. At<br />

higher heat fluxes, alternating churn and wispy-annular or<br />

annular flow occurs. In wispy-annular or annular flow, the<br />

vapor core does not necessarily occupy the entire crosssection<br />

and can instead exist on only one side of the channel;<br />

in other words, the flow is not confined by the channel walls.<br />

For example, as can be seen in Fig. 4(b) for the 2200 μm<br />

× 400 μm microchannels the wispy-annular flow (or annular<br />

flow in Fig. 4(c)) and churn flow patterns are distributed side<br />

by side across the width of the channel due to the large<br />

channel aspect ratio, as also explained in [12].<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 103<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Flow direction<br />

Bubbly flow<br />

Vapor bubbles<br />

(a)<br />

Bulk liquid<br />

Slug flow<br />

Elongated vapor bubbles<br />

(b)<br />

Liquid slug<br />

Vapor bubbles<br />

Churn flow<br />

Bulk liquid<br />

(c)<br />

Vapor chunks<br />

Wispy-annular flow<br />

Vapor core<br />

Thin liquid film<br />

(d)<br />

Liquid droplets<br />

Vapor bubble<br />

Annular flow<br />

Vapor core<br />

Thin liquid film<br />

(e)<br />

Liquid droplets<br />

Inverted annular flow<br />

Liquid core<br />

Thick vapor blanket<br />

(f)<br />

Fig. 2. Description of observed flow boiling regimes [12].<br />

1420<br />

G(kg/m 2 s)<br />

225 630 1050<br />

B<br />

C/W<br />

B<br />

C/W<br />

B<br />

C/W<br />

B<br />

C<br />

B<br />

B/S<br />

C/A<br />

S<br />

C/A<br />

B<br />

B<br />

B/S<br />

B<br />

B<br />

B/S<br />

B<br />

C/W<br />

B/S<br />

S<br />

B<br />

B/S<br />

C/A<br />

S<br />

C/A<br />

S<br />

C/A<br />

S<br />

C/A<br />

C/A<br />

C/W<br />

C/A<br />

C/W<br />

C/A<br />

C/W<br />

C/A<br />

C/W<br />

C/A<br />

C/A<br />

C/A<br />

5850 x 400 (2.201)<br />

2200 x 400 (0.815)<br />

1000 x 400 (0.366)<br />

1000 x 220 (0.231)<br />

400 x 400 (0.144)<br />

250 x 400 (0.089)<br />

400 x 220 (0.079)<br />

100 x 400 (0.037)<br />

400 x 100 (0.026)<br />

100 x 220 (0.021)<br />

100 x 100 (0.009)<br />

B<br />

C/W<br />

C/A<br />

5850 x 400 (2.201)<br />

B/S<br />

C/W<br />

C/A<br />

2200 x 400 (0.815)<br />

B/S<br />

C/W<br />

C/A<br />

1000 x 400 (0.366)<br />

B/S<br />

C/A<br />

400 x 400 (0.144)<br />

S<br />

C/A<br />

250 x 400 (0.089)<br />

S<br />

C/A<br />

100 x 400 (0.037)<br />

0 100 200 300 400<br />

q" (kW/m 2 )<br />

Width x Depth (Area)<br />

(µm) x (µm) (mm 2 )<br />

5850 x 400 (2.201)<br />

2200 x 400 (0.815)<br />

1000 x 400 (0.366)<br />

C/W<br />

400 x 400 (0.144)<br />

250 x 400 (0.089)<br />

100 x 400 (0.037)<br />

B<br />

C/W<br />

5850 x 400 (2.201)<br />

B<br />

B/S<br />

C/W<br />

2200 x 400 (0.815)<br />

B<br />

C/W<br />

1000 x 400 (0.366)<br />

B<br />

C/W<br />

400 x 400 (0.144)<br />

B<br />

B/S<br />

C/A<br />

250 x 400 (0.089)<br />

S<br />

C/A<br />

100 x 400 (0.037)<br />

B: Bubbly S: Slug C: Churn W: Wispy-annular A: Annular<br />

B/S: Alternating bubbly/slug flow<br />

C/W: Alternating churn/wispy-annular flow<br />

C/A: Alternating churn/annular flow<br />

: Single-phase flow<br />

Fig. 3. Summary of boiling flow patterns in the microchannel test pieces; the microchannel dimensions are presented as width<br />

(μm) × depth (μm) with a single-channel cross-sectional area (mm 2 ) in parentheses [14].<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 104<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Bubbly flow<br />

Churn/wispy-annular flow<br />

q” = 62.1 kW/m 2<br />

Churn/annular flow<br />

(a) q” = 193.4 kW/m 2 (b) q” = 264.1 kW/m 2<br />

(c)<br />

Fig. 4. Flow patterns in the 2200 μm × 400 μm microchannels<br />

at the three different heat fluxes; G = 630 kg/m 2 s [11].<br />

Another geometric parameter which affects flow boiling is<br />

surface topography. Jones et al. [13] investigated the effects<br />

of surface roughness on flow regimes and heat transfer<br />

characteristics in pool boiling of water and FC-77. Their<br />

experiments showed that at low heat fluxes, more activation<br />

sites were observed for roughened surfaces (roughness<br />

average of 5.89 μm) relative to the polished surfaces<br />

(roughness average of 0.04 μm). Also, rougher surfaces led<br />

to smaller bubble departure diameter and higher bubble<br />

emission frequency.<br />

B. Microscale Phenomena and Vapor Confinement<br />

There has been a good deal of discussion in the literature<br />

regarding the appropriate definition of a microchannel;<br />

however, a clear, physics-based distinction of microchannels<br />

from conventional-sized channels has not emerged. In<br />

general, a microchannel refers to a channel for which the<br />

heat transfer coefficient and pressure drop deviate from the<br />

predictions from widely accepted models for conventionalsized<br />

channels. For single-phase flow, Liu and Garimella<br />

[20] and Lee et al. [21] showed that channels with hydraulic<br />

diameters as small as 244 μm (the minimum considered in<br />

the studies) still exhibit heat transfer and pressure drop<br />

behavior that is well-predicted by conventional models.<br />

With boiling present in the channels, however, the flow<br />

phenomena differ from those in macroscale channels as the<br />

channel approaches the bubble diameter in size. In these<br />

small channels, correlations and models developed for larger<br />

channels no longer apply [3]. Harirchian and Garimella [14]<br />

developed a new criterion for delineating microchannels<br />

from macroscale channels based on the presence of vapor<br />

confinement as explained in the following.<br />

The experimental flow visualizations reveal that the flow<br />

confinement depends not only on the channel size, but also<br />

on the mass flux since the bubble diameter varies with flow<br />

rate. The different experiments carried out for various<br />

channel sizes and mass fluxes can be categorized into two<br />

groups of confined and unconfined flow regardless of the<br />

heat input, and are represented in Fig. 5 on Reynolds number<br />

and Bond number coordinates. This plot shows that for<br />

channels of small cross-sectional area and at low mass<br />

fluxes, vapor confinement is observed, while for larger<br />

microchannels and at high mass fluxes, the flow is not<br />

confined. The solid line on this plot shows the transition<br />

between confined and unconfined flow and is a curve fit to<br />

the transition points, represented by<br />

( ) 0.5<br />

0.5 1 ⎛ g ρf<br />

− ρ ⎞<br />

g<br />

2<br />

Bo × Re = GD = 160 (7)<br />

μ ⎜ σ ⎟<br />

⎝ ⎠<br />

0.5<br />

Bo × Re, a parameter termed the convective confinement<br />

number here, is proportional to the mass flux, G, and the<br />

cross-sectional area, D 2 , and is inversely proportional to the<br />

fluid surface tension. This new flow boiling transition<br />

0.5<br />

criterion recommends that for Bo × Re < 160 , vapor<br />

bubbles are confined and the channel should be considered<br />

as a microchannel. For larger convective confinement<br />

numbers, the flow does not experience physical confinement<br />

by the channel walls and the channel can be considered as a<br />

conventional (macroscale) channel. It is important to note<br />

that this transition criterion is independent of the heat flux<br />

and is very useful in determining whether a channel behaves<br />

as a microchannel or a conventional, macroscale channel,<br />

regardless of the heat input, for practical applications. A<br />

comprehensive flow regime map accounting for the heat<br />

input which determines the specific flow patterns is<br />

presented in section G.<br />

In Harirchian and Garimella [14], the proposed criterion<br />

for transition between confined and unconfined flow is<br />

compared with available experimental observations from<br />

other studies in the literature for water and fluorocarbon<br />

liquids. The comparison shows that the proposed criterion is<br />

successful in predicting the confined or unconfined nature of<br />

the flow from a variety of studies in the literature.<br />

The effects of the physical confinement by the channel<br />

walls on the heat transfer coefficient and boiling curves are<br />

discussed next.<br />

Re<br />

10 4 Confined Flow<br />

Unconfined Flow<br />

10 3<br />

10 2<br />

Unconfined Flow<br />

Confined Flow<br />

0.5<br />

Bo × Re = 160<br />

Bo × Re =<br />

160<br />

10 1<br />

10 -2 10 -1 10 0 10 1<br />

Bo<br />

Fig. 5. Transition from confined flow to unconfined flow [14].<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 105<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

C. Heat Transfer Coefficients and Boiling Curves<br />

Heat transfer coefficients and boiling curves have been<br />

studied extensively in the authors’ group and the effects of<br />

several geometric and flow parameters on flow boiling heat<br />

transfer have been systematically investigated [4-17, 25, 26]<br />

as summarized in this section and the next.<br />

Fig. 6 illustrates the effect of microchannel dimensions on<br />

the heat transfer coefficient for a fixed mass flux of 630<br />

kg/m 2 s [11]. In this figure, the heat transfer coefficient is<br />

plotted versus the wall heat flux for both single-phase and<br />

two-phase flows in all the microchannels considered. As can<br />

be seen from this figure, the onset of boiling is associated<br />

with an increase in the wall heat transfer coefficient.<br />

A careful examination of this figure reveals that at this<br />

mass flux, for microchannels with a cross-sectional area of<br />

0.089 mm 2 and larger, the heat transfer coefficient is<br />

independent of microchannel size. For smaller crosssectional<br />

areas where bubble confinement was visually<br />

observed, the heat transfer coefficient behavior is markedly<br />

different, with the heat transfer coefficient being relatively<br />

higher at the lower heat fluxes. As the heat flux increases,<br />

the curves cross over, resulting in lower values of heat<br />

transfer coefficient. The largest heat transfer coefficient is<br />

seen in the 100 μm × 220 μm microchannels, with a crosssectional<br />

area of 0.021 mm 2 , before partial dryout occurs.<br />

For the 100 μm × 100 μm microchannels, the heat transfer<br />

coefficient is relatively lower at low heat fluxes since partial<br />

dryout occurs even at very low heat fluxes.<br />

The larger heat transfer coefficients in the smaller<br />

microchannels are attributed to the confinement effects<br />

caused by bubbles occupying the whole cross-section of the<br />

microchannels due to the small cross-sectional area relative<br />

to the bubble diameter at departure. As discussed in sections<br />

A and B above, flow visualizations reveal that in all of the<br />

microchannels with cross-sectional area and mass flux<br />

values leading to a convective confinement number less than<br />

160, slug flow commences soon after incipience of boiling<br />

and flow enters the churn/annular regime at relatively low<br />

heat fluxes. Early establishment of annular flow in<br />

microchannels of very small diameter was also reported in<br />

other studies [22, 23]. As a result, bubble nucleation at the<br />

walls is not the only heat transfer mechanism, and the<br />

evaporation of the thin liquid film at the walls in the slug and<br />

annular flows also contributes to the heat transfer.<br />

Therefore, the value of heat transfer coefficient is larger for<br />

these smaller microchannels at lower heat fluxes. At high<br />

heat fluxes, a decrease in heat transfer coefficient is detected,<br />

which is due to an early partial wall dryout in these small<br />

channels. In microchannels with larger cross-sectional areas,<br />

nucleate boiling is the dominant flow regime, and hence, the<br />

heat transfer coefficient is independent of channel size.<br />

Similar trends have been reported in the literature for the<br />

dependence of confined pool boiling on plate spacing in<br />

parallel-plate configurations; as the plate spacing was<br />

reduced below the bubble departure diameter, heat transfer<br />

was enhanced in the low heat flux region due to confinement<br />

effects. As the spacing was decreased further, the heat<br />

transfer coefficient increased until it reached a maximum,<br />

after which it deteriorated with decreasing channel spacing<br />

[24].<br />

h(kW/m 2 K)<br />

9<br />

8<br />

7<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

G = 630 kg/m 2 s<br />

5850 x 400<br />

2200 x 400<br />

1000 x 400<br />

700 x 400<br />

1000 x 220<br />

400 x 400<br />

250 x 400<br />

400 x 220<br />

100 x 400<br />

400 x 100<br />

100 x 220<br />

100 x 100<br />

0<br />

0 50 100 150 200 250 300 350<br />

q" w<br />

(kW/m 2 )<br />

Fig. 6. Effect of microchannel dimensions (width µm × depth<br />

µm) on heat transfer coefficients [11].<br />

It is emphasized that it is neither the channel aspect ratio<br />

nor the smallest dimension of the microchannel that is the<br />

determining geometric dimension affecting boiling heat<br />

transfer, but instead, the channel cross-sectional area [11].<br />

Similar plots for three other mass fluxes are shown in [14].<br />

These plots showed that for the channels in which<br />

confinement is not present and nucleate boiling is dominant<br />

up to very high heat fluxes, and for which the convective<br />

0.5<br />

confinement number Bo × Re is larger than 160, the heat<br />

transfer coefficient is independent of microchannel size; all<br />

the curves collapse on to a single curve in these cases. For<br />

microchannel dimensions and mass fluxes which result in<br />

0.5<br />

Bo × Re < 160 , the heat transfer coefficients are larger<br />

due to the contribution of thin-film evaporation to the heat<br />

transfer mechanisms.<br />

The effect of mass flux on heat transfer coefficient was<br />

investigated in Harirchian and Garimella [9]. In Fig. 7, heat<br />

transfer coefficients are plotted as a function of the wall heat<br />

flux for different mass fluxes. The heat transfer coefficient<br />

increases with mass flux in the single-phase region for a<br />

fixed wall heat flux. After the onset of nucleate boiling,<br />

however, the heat transfer coefficient becomes independent<br />

of mass flux, and increases with heat flux. At high levels of<br />

wall heat flux, as the contribution from convective heat<br />

transfer begins to dominate that of nucleate boiling, the heat<br />

transfer coefficient becomes a function of mass flux and<br />

increases with increasing mass flux. Flow visualizations<br />

performed for these cases show that the plots of heat transfer<br />

coefficient diverge from each other at the heat flux where the<br />

bubble nucleation is suppressed at the walls. Other<br />

microchannel sizes tested yielded similar trends for the<br />

dependence of heat transfer coefficient on mass flux. These<br />

results regarding the dependence of heat transfer coefficient<br />

on flow rate are also consistent with the findings of Chen<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 106<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

and Garimella [15, 16].<br />

h(kW/m 2 K)<br />

10<br />

9<br />

8<br />

7<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

w=400μm<br />

d = 400 µm<br />

250 kg/m 2 s<br />

700 kg/m 2 s<br />

1150 kg/m 2 s<br />

1600 kg/m 2 s<br />

0<br />

0 50 100 150 200 250 300 350<br />

q" w<br />

(kW/m 2 )<br />

Fig. 7. Effect of mass flux on heat transfer coefficients [9].<br />

At high heat fluxes, a decrease in heat transfer coefficient<br />

is detected. Flow visualizations reveal that this is attributed<br />

to a partial wall dryout as also reported in [15].<br />

In Harirchian and Garimella [11], boiling curves are<br />

discussed for different channel sizes and a mass flux of 630<br />

kg/m 2 s. It is shown that for microchannels of cross-sectional<br />

area 0.089 mm 2 and larger, the boiling curves cluster<br />

together beyond the onset of nucleate boiling, indicating the<br />

dominance of nucleate boiling. As boiling starts in these<br />

microchannels, the wall temperature shows a weak<br />

dependence on the heat flux. This is consistent with the<br />

dominant nucleate boiling flow regime that was observed<br />

through the flow visualizations. As the heat flux increases,<br />

the wall temperature becomes more dependent on the heat<br />

flux and the boiling curves deviate for different channel sizes<br />

as convective boiling dominates. For the microchannels with<br />

smaller cross-sectional areas, the wall temperature increases<br />

with increasing wall heat flux and the boiling curves do not<br />

collapse on to those of the larger microchannels. The strong<br />

dependence of the wall temperature on the heat flux for these<br />

microchannels can be explained based on the flow<br />

visualizations which reveal that thin-film evaporation and<br />

forced convection in the thin liquid film surrounding the<br />

vapor slug or annulus, rather than nucleate boiling, are the<br />

main heat transfer mechanisms in the smaller channels.<br />

Harirchian and Garimella [9] also investigated the effect<br />

of mass flux on the boiling curves. It was shown that for<br />

cases later determined as having a convective confinement<br />

number of larger than 160, the boiling curves for all mass<br />

fluxes collapse to a single curve beyond the onset of nucleate<br />

boiling, indicating the dominance of nucleate boiling. For<br />

the mass fluxes at which confinement occurs, the boiling<br />

curves deviated from other curves due to an early transition<br />

to slug flow and annular flow regimes at lower and higher<br />

heat fluxes, respectively.<br />

Holcomb et al. [25] investigated the influence of<br />

microchannel size and mass flux on flow boiling of<br />

deionized water in silicon microchannels. Their experiments<br />

were conducted over a similar range of parameters as in the<br />

FC-77 studies discussed thus far and similar trends for<br />

dependence of heat transfer performance on channel<br />

dimensions and flow rate were observed; the boiling curves<br />

and heat transfer coefficients were found to be largely<br />

independent of channel size and mass flux, except in the<br />

vicinity of transition from single-phase to two-phase,<br />

indicating the dominance of nucleate boiling for the<br />

parameters considered.<br />

The influence of surface roughness on flow boiling heat<br />

transfer has also been investigated with deionized water [17].<br />

These experiments indicated only a small influence of<br />

surface roughness on boiling incipience and on saturated<br />

boiling heat transfer coefficients at low heat fluxes. At<br />

higher heat fluxes, however, a heat transfer enhancement of<br />

20 to 35% was obtained with the rougher surfaces<br />

(roughness average of 3.9 and 6.7 μm) relative to the smooth<br />

surfaces (1.4 μm). The effect of surface roughness on pool<br />

boiling heat transfer was also studied by Jones et al. [13].<br />

They observed a continuous increase in heat transfer<br />

coefficient with increasing surface roughness in pool boiling<br />

of FC-77; however, experiments with water showed little<br />

improvement in heat transfer with increasing surface<br />

roughness except for very rough surfaces with an average<br />

roughness of 10 μm, at which a significant increase in heat<br />

transfer coefficient was observed. It should be noted that the<br />

test chips considered for flow boiling studies with FC-77<br />

have smooth surfaces.<br />

D. Effect of Vapor Quality<br />

It is also important to understand the variation of flow<br />

boiling heat transfer coefficient as a function of vapor<br />

quality. Bertsch et al. [5, 6] investigated flow boiling of<br />

refrigerant HFC-134a in parallel copper microchannels of<br />

dimensions 762 μm × 1905 μm as a function of local vapor<br />

quality. A custom-designed experimental setup allowed for<br />

the measurement of heat transfer coefficients at specific<br />

vapor qualities spanning the entire range from subcooled<br />

liquid to superheated vapor. The heat transfer coefficient<br />

was found to vary significantly with vapor quality; it<br />

increased as the vapor quality was increased from subcooled<br />

liquid and reached a peak at a local vapor quality of 20%,<br />

after which it dropped again sharply for further increases in<br />

vapor quality.<br />

In flow boiling of FC-77, the heat transfer coefficient<br />

increases with increasing exit vapor quality [26], until the<br />

point of partial dryout, whereupon a decrease in heat transfer<br />

coefficient is observed. It is also noted that larger exit<br />

qualities could be achieved in smaller microchannels,<br />

resulting in early transition to annular flow and larger values<br />

of heat transfer coefficient in these smaller channels.<br />

E. Pressure Drop<br />

The pressure drop and pumping power is now considered,<br />

along with the influence of channel dimensions and mass<br />

flux on these quantities.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 107<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Δp(kPa)<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

G=630kg/m 2 s<br />

5850 x 400<br />

2200 x 400<br />

1000 x 400<br />

700 x 400<br />

1000 x 220<br />

400 x 400<br />

250 x 400<br />

400 x 220<br />

100 x 400<br />

400 x 100<br />

100 x 220<br />

100 x 100<br />

0<br />

0 50 100 150 200 250 300 350<br />

q" w<br />

(kW/m 2 )<br />

Fig. 8. Effect of microchannel dimensions (width µm × depth<br />

µm) on pressure drop [11].<br />

The pressure drop as a function of the average wall heat<br />

flux is shown in Fig. 8 for a wide range of microchannel<br />

sizes. The two-phase region can be clearly distinguished<br />

from the single-phase region by the sharp change in the<br />

slope of the curves. In the single-phase region, the pressure<br />

drop slightly decreases with increasing heat flux due to the<br />

reduction in liquid viscosity as the liquid temperature<br />

increases. In the two-phase region, the pressure drop is<br />

strongly dependent on heat flux and increases rapidly and<br />

almost linearly with increasing heat flux due to the<br />

acceleration of vapor, as also reported in other studies [15,<br />

27, 28].<br />

In both the single-phase and two-phase regions, the<br />

pressure drop increases with decreasing microchannel crosssectional<br />

area at a given heat flux. In the two-phase region,<br />

the slope of the line also increases as the channel area<br />

decreases, with much larger pressure drops for smaller<br />

channels at higher heat fluxes.<br />

It is also seen that for the microchannels with similar<br />

cross-sectional areas and different aspect ratios (e.g., 250 μm<br />

× 400 μm and 400 μm × 220 μm microchannels), the<br />

pressure drops are similar in value.<br />

For a fixed channel size, in both single-phase and twophase<br />

regions, the pressure drop increases with increasing<br />

mass flux [9], which agrees with the results of Pate et al.<br />

[28]. Chen and Garimella [15], however, found that the<br />

pressure drop was independent of mass flux in the two-phase<br />

region. They attributed this observation to the balance<br />

between the frictional pressure drop and accelerational<br />

pressure drop under the moderate inlet subcooling<br />

considered in their tests, which is in contrast to the very<br />

modest subcooling used in the current work.<br />

The pumping power required to manage a given base heat<br />

flux with different microchannel sizes is also of practical<br />

interest. In the single-phase region the pumping power<br />

required is almost constant, independent of the heat flux,<br />

while in the two-phase region, the pumping power increases<br />

rapidly with heat flux [9]. The experiments reveal that for<br />

microchannels without vapor confinement, the pumping<br />

power is not a strong function of microchannel width. As<br />

the microchannel size decreases below the confinement<br />

threshold, however, the pumping power increases with<br />

decreasing channel size. Therefore, for a given pumping<br />

power, more heat can be removed from the heat source with<br />

larger microchannels.<br />

F. Heat Transfer Predictions<br />

A large number of correlations have been proposed in the<br />

literature for predicting heat transfer coefficients for pool<br />

boiling and flow boiling in tubes and channels. Of the many<br />

predictive correlations for boiling heat transfer, those of<br />

Cooper [29] and Gorenflo [30] are widely used for<br />

predicting nucleate pool boiling heat transfer coefficients.<br />

Flow boiling features simultaneous contributions from<br />

nucleate boiling and forced convection. There have been<br />

two main approaches to model flow boiling: a superposition<br />

approach and an extrapolation approach [7]. Chen [31]<br />

suggested the superposition approach, in which the nucleate<br />

boiling and forced convection components are linearly<br />

summed with the introduction of a suppression factor for the<br />

nucleate boiling term and an enhancement factor for the<br />

forced convection term. Shah [32] proposed an<br />

extrapolation-type correlation which used a boiling number<br />

and a convective number. Following these two early studies,<br />

many modifications have been proposed to both approaches<br />

to obtain better agreement with different sets of experimental<br />

data for conventional channels [33, 34]. In the last decade,<br />

experiments have focused on mini- and microchannels and<br />

the forced convection component in flow boiling correlations<br />

has been adapted for laminar or developing flows [30, 35,<br />

36, 37] to better represent the flow conditions in mini- and<br />

microchannels.<br />

The experimental results obtained by the authors were<br />

compared to predictions for the same conditions from ten<br />

correlations from the literature in Harirchian and Garimella<br />

[9]. Both pool-boiling and flow-boiling correlations for both<br />

macro and microchannels were considered. For most of the<br />

0.5<br />

experimental cases (with Bo × Re > 160 ), the nucleate<br />

pool boiling correlation of Cooper [29] predicted the<br />

experimental results very well, with a mean absolute error of<br />

7.2%. For smaller microchannels at lower mass fluxes<br />

(which would exhibit vapor confinement according to the<br />

criterion proposed here), the error associated with the<br />

prediction of heat transfer coefficient using this correlation<br />

was larger at 15%; however, this pool-boiling correlation<br />

still predicted the experimental results better than other<br />

empirical correlations developed specifically for<br />

microchannel flow boiling.<br />

In a recent review [3], 25 published heat transfer<br />

correlations were examined to identify the applicability of<br />

correlations developed both for conventional-sized and<br />

microscale channels in predicting the heat transfer<br />

coefficient in small channels; 1847 experimental data points<br />

were compiled from ten different published studies which<br />

reported flow boiling heat transfer measurements in channels<br />

of hydraulic diameter less than 2 mm. Again, the pool<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 108<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

boiling correlation of Cooper [29] showed the lowest<br />

deviation between experimental measurements and<br />

predictions, indicating the dominance of the nucleate boiling<br />

heat transfer regime. This study [3] showed that none of the<br />

correlations developed for flow boiling offered an improved<br />

prediction over pool boiling correlations. In particular,<br />

correlations developed especially for minichannels and<br />

microchannels show essentially no improvement over those<br />

developed earlier for conventional-sized channels.<br />

Summaries of all the correlations assessed, along with their<br />

conditions and ranges of applicability, are tabulated in [3]<br />

and [9].<br />

Based on the clear need that was identified for flow<br />

boiling correlations that are applicable over a wide range of<br />

parameters, Betsch et al. [4] developed a composite<br />

correlation that included both nucleate boiling and<br />

convective heat transfer terms while taking into account for<br />

the confinement in small channels. They compared their<br />

proposed correlation to 3899 data points from 14 studies in<br />

the literature for 12 fluids with a wide range of hydraulic<br />

diameters, confinement effects, mass fluxes, heat fluxes, and<br />

vapor qualities, and achieved a mean absolute error of less<br />

that 30%.<br />

Subsequent experimental heat transfer measurements with<br />

water [25] obtained under the same conditions and<br />

parameters as those discussed here for FC-77 also compared<br />

well with predictions from the correlations of Cooper [29]<br />

and Bertsch et al. [4], with mean absolute errors of 23.2%<br />

and 24.6%, respectively.<br />

This discussion of the literature and the comparison of<br />

experimental results from a wide range of experimental<br />

studies with existing empirical correlations point to a clear<br />

need for physics-based models for flow boiling heat transfer<br />

in microchannels. Such models should account for<br />

microscale effects and must be validated against a large<br />

experimental database featuring a wide range of parameters<br />

and operating conditions. Flow regime maps must first be<br />

developed to determine the flow patterns present for any<br />

given set of parameters. Physics-based models for each of<br />

the flow regimes can then be developed and validated using<br />

such a database.<br />

G. Flow Regime Maps<br />

Flow regime maps are commonly used to determine the<br />

flow patterns that exist under different operating conditions,<br />

as well as the conditions for flow pattern transitions. Such<br />

maps are essential to the development of flow regime-based<br />

models for the prediction of the heat transfer rate and<br />

pressure drop in flow boiling. The coordinates used to plot<br />

these flow regime maps can be superficial phase velocities or<br />

derived parameters containing these velocities; however, the<br />

effects of important parameters such as channel size are not<br />

represented in a number of these maps. Early flow regime<br />

maps for horizontal and vertical two-phase flow in channels<br />

with diameters of a few centimeters were developed by<br />

Baker [38], Hewitt and Roberts [39], and Taitel and Dukler<br />

[40]. In recent years, a few studies [41, 42, 43] have<br />

developed flow regime maps for boiling in microchannels<br />

and have shown that flow regime maps developed for larger<br />

tubes are inapplicable for predicting flow regime transitions<br />

in microchannels. Flow regime maps for adiabatic twophase<br />

flow in microchannels were also proposed through<br />

high-speed visualizations [44, 45, 46]; however, it has been<br />

shown [43] that adiabatic flow regime maps are not suitable<br />

for the prediction of microscale boiling. Despite the<br />

inability of macroscale boiling maps or adiabatic two-phase<br />

flow regime maps to predict the boiling flow patterns in<br />

microchannels, a review of the literature shows a dearth of<br />

investigations into flow regime maps specifically targeted at<br />

microchannels undergoing flow boiling that are applicable to<br />

a wide range of microchannel dimensions and experimental<br />

conditions.<br />

In recent work by the authors [12], two different types of<br />

flow regime maps for microchannel flow boiling of FC-77<br />

have been developed based on the experimentally visualized<br />

flow patterns. Twelve different flow regime maps were<br />

plotted for the six channel dimensions considered using<br />

coordinates of mass flux and vapor quality, and alternatively,<br />

of liquid superficial velocity and vapor superficial velocity.<br />

Both types of flow regime maps depend on channel<br />

dimensions; hence, for each channel dimension, a separate<br />

flow regime map is required to capture the flow regime<br />

transitions accurately. The effects of channel width on the<br />

flow regime transition were discussed as well. The flow<br />

regime maps developed in [12] were also compared to flow<br />

transitions from other studies in the literature for adiabatic<br />

two-phase flow and for boiling in macro- and microchannels.<br />

It was concluded that only the flow regime maps<br />

developed for microscale flow boiling in comparable<br />

channel sizes could reasonably match the observed flow<br />

transitions.<br />

Due to dependence of flow regimes (and regime maps) on<br />

channel dimensions, it is important to include the effects of<br />

channel size in the flow regime maps. To address this need,<br />

a comprehensive flow regime map has recently been<br />

developed [14] and is discussed here.<br />

Bl.Re<br />

10 -2 Churn/Confined Annular<br />

Confined Slug<br />

Bubbly<br />

Churn/Wispy-Annular<br />

Churn/Annular<br />

10 0<br />

-3<br />

Bl = 0.017 Bo ×<br />

Re<br />

10 -4<br />

0.5<br />

Bo × Re = 160<br />

10 1 10 2 10 3 10 4<br />

(Bo) 0.5 .Re<br />

0.4 -0.3<br />

( )<br />

Fig. 9. Comprehensive flow regime map, including regime<br />

transitions, for FC-77 [14].<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 109<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 9 shows the comprehensive flow regime map<br />

developed based on the experimental results and flow<br />

visualizations performed with FC-77. The abscissa in this<br />

plot is the newly defined convective confinement number,<br />

0.5<br />

Bo × Re, which is proportional to the dimensional<br />

quantity, G × D 2 . The ordinate, Bl× Re, is a<br />

q w<br />

× D . Plotting all<br />

nondimensional form of the heat flux, ′′<br />

the ~390 experimental data points obtained in [11] and [12]<br />

for flow boiling of FC-77 in 12 different microchannel test<br />

pieces with channel cross-sectional area in the range of<br />

0.009-2.201 mm 2 , four mass fluxes in the range of 225-1420<br />

kg/m 2 s, and heat fluxes in the range of 25 to 380 kW/m 2 on<br />

Bl× Re versus<br />

Bo<br />

0.5<br />

× Re logarithmic axes leads to a<br />

comprehensive flow regime map with four distinct regions<br />

of confined slug flow, churn/confined annular flow, bubbly<br />

flow, and churn/annular/wispy-annular flow.<br />

0.5<br />

The vertical transition line is given by Bo × Re = 160 ,<br />

which represents the transition to confined flow. The other<br />

transition line is a curve fit to the points of transition from<br />

bubbly or slug flow to alternating churn/annular or<br />

churn/wispy-annular flow, and is given by<br />

0.5<br />

( ) 0.7<br />

Bl× Re= 0.017 Bo × Re<br />

(8)<br />

which can be rearranged to give<br />

0.4 0.3<br />

Bl = 0.017( Bo × Re −<br />

)<br />

(9)<br />

0.5<br />

This flow regime map shows that for Bo × Re < 160<br />

vapor confinement is observed in both slug and<br />

0.5<br />

churn/annular flow regimes while for Bo × Re > 160 , the<br />

flow is not confined. For low heat fluxes with<br />

Bl < 0.017<br />

0.4 0.3<br />

Bo × Re − , flow patterns of slug (if<br />

( )<br />

0.5<br />

0.5<br />

Bo × Re < 160 ) or bubbly (if Bo × Re > 160 ) flow<br />

exist in the microchannels. At higher heat fluxes with<br />

0.4 0.3<br />

Bl > 0.017( Bo × Re −<br />

), vapor bubbles coalesce,<br />

resulting in a continuous vapor core in the alternating<br />

churn/annular or churn/wispy annular flow regimes.<br />

In [14], experimental data from a range of other studies in<br />

the literature were plotted on this comprehensive flow<br />

regime map. The map developed was clearly able to<br />

represent the flow regimes found in the literature for water<br />

and fluorocarbon liquids.<br />

IV. SUMMARY<br />

Extensive experimental work has been conducted with<br />

microchannel test pieces encompassing a wide range of<br />

channel dimensions over a broad range of operating<br />

conditions to systematically determine the effects of<br />

important geometric and flow parameters on pressure drop,<br />

heat transfer, and flow regimes associated with microscale<br />

flow boiling. Local heat transfer measurements obtained<br />

with simultaneous, detailed flow visualizations have led to a<br />

better understanding of boiling phenomena in microchannels<br />

and the governing heat transfer mechanisms. The extensive<br />

microscale boiling experiments and analyses have resulted in<br />

a comprehensive understanding of boiling, with some of the<br />

significant findings listed below:<br />

• A large database of boiling flow pattern visualizations is<br />

obtained for a wide range of channel dimensions and<br />

flow parameters, leading to a good understanding of<br />

microscale flow regimes.<br />

• A clear knowledge of the effects of microchannel<br />

geometry on flow boiling is obtained; the cross-sectional<br />

area of the microchannels is found to play a determining<br />

role in boiling mechanisms and heat transfer.<br />

• Vapor confinement and emergence of microscale effects<br />

are shown to depend not only on channel size and fluid<br />

properties, but also on the flow rate. Based on the<br />

experimental results, a new transition criterion is<br />

developed which predicts the conditions under which<br />

microscale confinement effects are exhibited in flow<br />

boiling.<br />

• For conditions under which flow confinement does not<br />

occur, the heat transfer and boiling curves are<br />

independent of, and pressure drop and pumping power<br />

have only minor sensitivity to, channel size and flow<br />

rate.<br />

• Effects of surface roughness on pool boiling and flow<br />

boiling have been studied for water and dielectric<br />

liquids; surface roughness is found to have a minor<br />

effect on heat transfer coefficient at low heat fluxes<br />

while it leads to a 20-35% enhancement at higher heat<br />

flux region in flow boiling of water.<br />

• Empirical correlations are developed for prediction of<br />

heat transfer coefficient based on a large dataset of<br />

approximately 3900 data points from several studies in<br />

the literature.<br />

• A comprehensive flow regime map for flow boiling of<br />

FC-77 is developed, along with quantitative regimetransition<br />

criteria, based on approximately 390 data<br />

points encompassing a wide range of microchannel<br />

dimensions, mass fluxes, and heat fluxes. This<br />

comprehensive flow map is expected to facilitate the<br />

development of flow regime-based models for the<br />

prediction of boiling heat transfer coefficients.<br />

ACKNOWLEDGEMENTS<br />

Financial support from the State of Indiana 21st Century<br />

Research and Technology Fund and from the Cooling<br />

Technologies Research Center, an NSF Industry/University<br />

Cooperative Research Center at Purdue University, is<br />

gratefully acknowledged.<br />

REFERENCES<br />

[1] Garimella, S. V. and Sobhan, C. B., 2003. Transport in<br />

Microchannels - A Critical Review. Annual Review of Heat<br />

Transfer 13, 1-50.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 110<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

[2] Sobhan, C. B. and Garimella, S. V., 2001. A Comparative<br />

Analysis of Studies on Heat Transfer and Fluid Flow in<br />

Microchannels. Microscale Thermophysical Engineering 5,<br />

293-311.<br />

[3] Bertsch, S. S., Groll, E. A., and Garimella, S. V., 2008.<br />

Review and Comparative Analysis of Studies on Saturated<br />

Flow Boiling in Small Channels. Nanoscale and Microscale<br />

Thermophysical Engineering 12, 187-227.<br />

[4] Bertsch, S. S., Groll, E. A., and Garimella, S. V., 2009. A<br />

Composite Heat Transfer Correlation for Saturated Flow<br />

Boiling in Small Channels. International Journal of Heat and<br />

Mass Transfer 52, 2110-2118.<br />

[5] Bertsch, S. S., Groll, E. A., and Garimella, S. V., 2008.<br />

Refrigerant Flow Boiling Heat Transfer in Parallel<br />

Microchannels as a Function of Local Vapor Quality.<br />

International Journal of Heat and Mass Transfer 51, 4775-<br />

4787.<br />

[6] Bertsch, S. S., Groll, E. A., and Garimella, S. V., 2009.<br />

Effects of Heat Flux, Mass Flux, Vapor Quality, and<br />

Saturation Temperature on Flow Boiling Heat Transfer in<br />

Microchannels. International Journal of Multiphase Flow 35,<br />

142-154.<br />

[7] Liu, D. and Garimella, S. V., 2007. Flow Boiling Heat<br />

Transfer in Microchannels. Journal of Heat Transfer 129<br />

(10), 1321-1332.<br />

[8] Lee, P. S. and Garimella, S. V., 2008. Saturated Flow<br />

Boiling Heat Transfer and Pressure Drop in Silicon<br />

Microchannel Arrays. International Journal of Heat and Mass<br />

Transfer 51, 789-806.<br />

[9] Harirchian, T. and Garimella, S. V., 2008. Microchannel<br />

Size Effects on Local Flow Boiling Heat Transfer to a<br />

Dielectric Fluid. International Journal of Heat and Mass<br />

Transfer 51, 3724-3735.<br />

[10] Chen, T. and Garimella S. V., 2006. Effect of Dissolved<br />

Air on Subcooled Flow Boiling of a Dielectric Coolant in a<br />

Microchannel Heat Sink. Journal of Electronic Packaging<br />

128 (4), 398-404.<br />

[11] Harirchian, T. and Garimella, S. V. 2009, The Critical<br />

Role of Channel Cross-Sectional Area in Microchannel Flow<br />

Boiling Heat Transfer. International Journal of Multiphase<br />

Flow 35, 904-913.<br />

[12] Harirchian, T. and Garimella, S. V., 2009. Effects of<br />

Channel Dimension, Heat Flux, and Mass Flux on Flow<br />

Boiling Regimes in Microchannels. International Journal of<br />

Multiphase Flow 35, 349-362.<br />

[13] Jones, B. J., McHale J. P., and Garimella, S. V. The<br />

Influence of Surface Roughness on Nucleate Pool Boiling<br />

Heat Transfer. Journal of Heat Transfer, in press.<br />

[14] Harirchian, T. and Garimella, S. V. A Comprehensive<br />

Flow Regime Map for Microchannel Flow Boiling with<br />

Quantitative Transition Criteria. International Journal of<br />

Heat and Mass Transfer, in review.<br />

[15] Chen, T. and Garimella, S. V., 2006. Measurements and<br />

High-Speed Visualization of Flow Boiling of a Dielectric<br />

Fluid in a Silicon Microchannel Heat Sink. International<br />

Journal of Multiphase Flow 32 (8), 957-971<br />

[16] Chen, T. and Garimella S. V., 2006. Flow Boiling Heat<br />

Transfer to a Dielectric Coolant in a Microchannel Heat<br />

Sink. IEEE Transactions on Components and Packaging<br />

Technologies 30 (1), 24-31.<br />

[17] Jones, B. J. and Garimella, S. V., 2009. Surface<br />

Roughness Effects on Flow Boiling in Microchannels.<br />

Proceedings of the ASME InterPACK’ 09, San Francisco,<br />

California, July 19-23, 2009.<br />

[18] MATLAB software, www.mathworks.com.<br />

[19] Taylor, J. R., 1997. An Introduction to Error Analysis,<br />

2nd Edition. University Science Books.<br />

[20] Liu, D. and Garimella, S. V., 2004. Investigation of<br />

Liquid Flow in Microchannels. Journal of Thermophysics<br />

and Heat Transfer 18 (1), 65-72.<br />

[21] Lee, P. S., Garimella, S. V., and Liu, D., 2005.<br />

Investigation of Heat Transfer in Rectangular<br />

Microchannels. International Journal of Heat and Mass<br />

Transfer 48, 1688-1704.<br />

[22] Zhang, H. Y., Pinjala, D., and Wong T. N., 2005.<br />

Experimental Characterization of Flow Boiling Heat<br />

Dissipation in a Microchannel Heat Sink with Different<br />

Orientations. Proceeding of 7th Electronics Packaging<br />

Technology Conference, EPTC 2, 670-676.<br />

[23] Jiang, L., Wong, M., and Zohar, Y., 2001. Forced<br />

Convection Boiling in a Microchannel Heat Sink. Journal of<br />

Microelectromechanical Systems 10, 80-87.<br />

[24] Geisler, K. J. L. and Bar-Cohen, A., 2009. Confinement<br />

Effects on Nucleate Boiling and Critical Heat Flux in<br />

Buoyancy-Driven Microchannels. International Journal of<br />

Heat and Mass Transfer 52 (11-12), 2427-2436.<br />

[25] Holcomb, B. T., Harirchian, T., and Garimella, S. V.,<br />

2009. An Experimental Investigation of Microchannel Size<br />

Effects on Flow Boiling with De-Ionized Water. Proceedings<br />

of the ASME Summer Heat Transfer Conference, HT2009,<br />

San Francisco, California, July 19-23, 2009.<br />

[26] Harirchian, T., and Garimella, S. V., 2007.<br />

Microchannel Size Effects on Two-Phase Local Heat<br />

Transfer and Pressure Drop in Silicon Microchannel Heat<br />

Sinks with a Dielectric Fluid. Proceedings of ASME<br />

International Mechanical Engineering Congress &<br />

Exposition, IMECE2007 11 (A), 437-446.<br />

[27] Warrier, G. R., Dhir, V. K., and Momoda, L. A., 2002.<br />

Heat Transfer and Pressure Drop in Narrow Rectangular<br />

Channels. Experimental Thermal and Fluid Science 26, 53-<br />

64.<br />

[28] Pate, D. P., Jones, R. J., and Bhavnani, S. H., 2006.<br />

Cavity-Induced Two-Phase Heat Transfer in Silicon<br />

Microchannels. Proceedings of the Intersociety Conference<br />

on Thermal and Thermomechanical Phenomena and<br />

Emerging Technologies in Electronic Systems, 71-78.<br />

[29] Cooper, M. G., 1984. Heat Flow Rates in Saturated<br />

Nucleate Pool Boiling – a Wide-Ranging Examination Using<br />

Reduced Properties. Advances in Heat Transfer 16, 157-239.<br />

[30] Gorenflo, D., 1993, in VDI Heat Atlas, Chapter Ha.<br />

[31] Chen, J. C., 1966. Correlation for Boiling Heat Transfer<br />

to Saturated Fluids in Convective Flow. Industrial and<br />

Engineering Chemistry – Process Design and Development 5<br />

(3), 322-329.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 111<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

[32] Shah, M. M., 1977. General Correlation for Heat<br />

Transfer During Subcooled Boiling in Pipes and Annuli.<br />

ASHRAE Transactions 83 (1), 202-217.<br />

[33] Gungor, K. E. and Winterton, R. H. S., 1986. General<br />

Correlation for Flow Boiling in Tubes and Annuli.<br />

International Journal of Heat and Mass Transfer 29 (3), 351-<br />

358.<br />

[34] Tran, T. N., Wambsganss, M. W., and France, D. M.,<br />

1996. Small Circular- and Rectangular-Channel Boiling<br />

with Two Refrigerants. International Journal of Multiphase<br />

Flow 22 (3), 485-498..<br />

[35] Warrier G. R., Dhir, V. K., and Momoda, L. A., 2002.<br />

Heat Transfer and Pressure Drop in Narrow Rectangular<br />

Channels. Experimental Thermal and Fluid Science 26 (1),<br />

53-64.<br />

[36] Zhang, W., Hibiki, T., and Mishima, K., 2004.<br />

Correlation for Flow Boiling Heat Transfer in Mini-<br />

Channels. International Journal of Heat and Mass Transfer<br />

47 (26), 5749-5763.<br />

[37] Peters, J. V. S. and Kandlikar, S. G., 2007. Further<br />

Evaluation of a Flow Boiling Correlation for Microchannels<br />

and Minichannels. Proceedings of the Fifth International<br />

Conference on Nanochannels, Microchannels and<br />

Minichannels, ICNMM2007, June 18-20, 2007, Puebla,<br />

Mexico.<br />

[38] Baker, O., 1954. Design of Pipe Lines for Simultaneous<br />

Flow of Oil and Gas. Oil and Gas Journal 26.<br />

[39] Hewitt, G. F. and Robert, D. N., 1969. Studies of Two-<br />

Phase Flow Patterns by Simultaneous X-Ray and Flash<br />

Photography. AERE-M 2159, HMSO.<br />

[40] Taitel, Y. and Dukler, A. E. 1976. A Model for<br />

Predicting Flow Regime Transitions in Horizontal and Near<br />

Horizontal Gas-liquid Flow. AIChE Journal 22, 47-55.<br />

[41] Hetsroni, G., Mosyak, A., Segal, Z., and Pogrebnyak,<br />

E., 2003. Two-Phase Flow Patterns in Parallel<br />

Microchannels. International Journal of Multiphase Flow 29,<br />

341-360.<br />

[42] Huo, X., Chen, L., Tian, Y.S., and Karayiannis, T. G.,<br />

2004. Flow Boiling and Flow Regimes in Small Diameter<br />

Tubes. Applied Thermal Engineering 24, 1225-1239.<br />

[43] Revellin, R., Dupont, V., Ursenbacher, T., Thome, J. R.,<br />

and Zun, I., 2006. Characterization of Diabatic Two-Phase<br />

Flows in Microchannels: Flow Parameter Results for R-134a<br />

in a 0.5 mm Channel. International Journal of Multiphase<br />

Flow 32, 755-774.<br />

[44] Chung, P. M.-Y. and Kawaji, M., 2004. The Effect of<br />

Channel Diameter on Adiabatic Two-Phase Flow<br />

Characteristics in Microchannels. International Journal of<br />

Multiphase Flow 30 (7-8), 735-761.<br />

[45] Hassan, I., Vaillancourt, M., and Pehlivan, K., 2005.<br />

Two-Phase Flow Regime Transitions in Microchannels: a<br />

Comparative Experimental Study. Microscale<br />

Thermophysical Engineering 9, 165-182.<br />

[46] Field, B. and Hrnjak, P., 2007. Visualization of Two-<br />

Phase Refrigerant and Refrigerant-Oil Flow in a<br />

Microchannel. ASME International Mechanical Engineering<br />

Congress and Exposition, IMECE2007-43471, Seattle, WA.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 112<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Impact of Moisture Absorption on Warpage of Large<br />

BGA packages during a lead-free reflow process<br />

B. Vandevelde 1 , R. Deweerdt 2 , F. Duflos 2 , M. Gonzalez 1 ,<br />

D. Vanderstraeten 3 , Eddy Blansaer 3 , Guy Brizar 3 , Renaud Gillon 3<br />

1 IMEC, Kapeldreef 75, B-3001 Leuven, Belgium<br />

2 Groep T, Leuven, Belgium<br />

3 On Semi, Oudenaarde, Belgium<br />

Abstract- During a solder reflow process, IC components<br />

deform under a rather excessive temperature loading. A too<br />

high warpage at temperatures above solder melting, can cause<br />

either that the joints in the corner are not soldered to the PCB<br />

pads, or that the solder joints are shorted due to compressing of<br />

the corner joints.<br />

In this work, the warpage of a 35by35 mm 2 large PBGA<br />

package has been measured during a temperature profile which<br />

is similar to a lead-free soldering process. It was found that the<br />

warpage becomes very high when the applied temperature is<br />

above the glass transition temperature of the overmould<br />

material. At that moment, there exists a very large CTE<br />

mismatch between the overmould and the BT laminate.<br />

The warpage measurements have been successfully verified<br />

by Finite Element Modelling adapting the right material<br />

properties. This proves that modeling can be used as an<br />

estimator of warpage for packages.<br />

Also the impact of initial moisture uptake has been<br />

experimentally investigated and it was shown that it has a<br />

dominant effect on its warpage behaviour.<br />

Keywords – Warpage, PBGA, topography measurements<br />

thermo-mechanical stress, solder reflow, FEM simulation<br />

I. INTRODUCTION<br />

Packages consist of different materials, having a different<br />

coefficient of thermal expansion (CTE). Under a temperature<br />

change, the different mechanical expansions cause internally<br />

acting forces and this finally results in internal mechanical<br />

stresses and an out-of-plane deformation of the package.<br />

In this paper, the solder reflow process is considered as<br />

temperature profile, where components are subjected to<br />

rather excessive temperature loading. As a consequence,<br />

excessive warpage can cause shorts due to compression of<br />

neighbouring balls in the corner area under global warpage,<br />

as indicated in the schematic drawing in Fig. 1. For other<br />

package concepts, e.g. QFN’s, the opposite can happen that<br />

packages are warped upwards in the corner, resulting in open<br />

connections, or even worse, so-called frozen connections<br />

only made by mechanical contact, giving no failure at room<br />

temperature but starts to disconnect again at higher<br />

temperatures.<br />

20°C<br />

250°C<br />

(SAC reflow<br />

temperature)<br />

Fig. 1: Schematic drawing of shorts at the corner due to excessive<br />

warpage (happens during liquid soldering)<br />

This phenomenon of interconnection problems due to<br />

excessive warpage during solder reflow, is strengthen by the<br />

change to lead-free, which requires typically 30°C higher<br />

melting temperature, and also by moisture absorption, as will<br />

be shown in this paper. As warpage is typically proportional<br />

to the square of the package size, large packages are also<br />

more vulnerable. Also the overmould properties play a very<br />

important role: both its Tg (glass transition point) and the<br />

amount of moisture it will absorb, will determine the<br />

warpage profile..<br />

II. EXPERIMENTAL WORK<br />

A. Sample description<br />

For this study, a PBGA package encapsulated by an<br />

overmould material has been selected. The size of the<br />

package is 35 by 35 mm 2 , the die size is 13 by 13 mm 2 .<br />

Fig. 2: Picture and schematic drawing of the PBGA 35x35 mm 2<br />

package<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 113<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Regarding the study of the impact of moisture absorption<br />

taken up by the package, the same package type has been Temperature (°C)<br />

measured with four different pre-conditions, as shown and<br />

250<br />

described in Table 1. For each condition, 5 samples have<br />

been measured.<br />

200<br />

TABLE I<br />

Overview of Sample Conditions for warpage measurements<br />

Condition<br />

Code<br />

Reference structure with uncontrolled<br />

“REF”<br />

history, as they were lying for more than 1<br />

year lying on the shelf (probably, they took<br />

up some moisture)<br />

Reference structure dried for 3 days at<br />

“DRY”<br />

120°C<br />

Reference structure humidified in a<br />

“WET1”<br />

humidity chamber, for 168h at 85°C and<br />

85% humidity.<br />

Reference structure humidified in a pressure “WET2”<br />

cooker chamber, for 18h at 120°C and 100%<br />

humidity.<br />

B. Measurement setup<br />

The measurements were done with the Topography and<br />

Deformation Measurement (TDM) System from Insidix<br />

[4,5]. The out-of-plane measurements use the principle of<br />

the projection moiré technique to measure the topography as<br />

the difference between a reference horizontal surface and the<br />

measured surface. This reference surface is a calibration tool<br />

that is perfectly flat and homogeneous white and is measured<br />

beforehand. The outcome of one measurement is the out-ofplane<br />

profile, as shown in Fig. 3.<br />

The warpage is then defined as the difference in out-ofplane<br />

displacement between the center and the corner<br />

(not the difference in z-height!).<br />

150<br />

100<br />

50<br />

0<br />

0 5 10 15 20 25<br />

Time (min)<br />

Fig. 4: Temperature profile applied to PBGA sample for the<br />

topography measurement<br />

C. Measurement result for a “DRY” sample<br />

The first experiment presented in this paper is the warpage<br />

profile measurement for a dry sample. Fig. 6 shows the outof-plane<br />

profile for this package at 22°C and at 227°C (=<br />

maximum reflow temperature). At 22°C, the warpage is<br />

almost zero, while at 227°C, the warpage is visibly high.<br />

Z-height (µm)<br />

1800<br />

1600<br />

1400<br />

1200<br />

1000<br />

800<br />

600<br />

400<br />

200<br />

0<br />

227°C<br />

22°C<br />

0 10 20 30 40 50<br />

Distance from one corner (mm)<br />

Fig. 5: Out-of-plane profile (topography) measured by INSIDIX<br />

equipment (along the diagonal).<br />

Fig. 3: Topography measurement of the PBGA package<br />

B. Temperature loading profile<br />

A typical reflow temperature profile has been applied for<br />

this package, with a maximum of 227°C. The heating is<br />

performed by IR camera’s, while the temperature is<br />

measured using a thermo-couple touching the BGA sample.<br />

The warpage has been measured at different temperatures,<br />

both in heating and cooling. The result for this sample is<br />

shown in Fig. 6. At room temperature, there is a small<br />

warpage of about 50 µm (corners bending downwards). This<br />

warpage is almost constant up to 170°C. Above this<br />

temperature, the warpage substantially change and the corner<br />

start to bend downwards. This reason is that the glass<br />

transition for the overmould is reached, resulting in a high<br />

CTE mismatch between the overmould and the BT (while<br />

they are quite well matched below 170°C). As a<br />

consequence, the corners start to warp downwardsn and this<br />

becomes very high at maximum temperature (450µm).<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 114<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Warpage (µm)<br />

500<br />

400<br />

300<br />

200<br />

Heating curve<br />

Cooling curve<br />

(+) Warpage<br />

Z-height (µm)<br />

1600<br />

1400<br />

1200<br />

1000<br />

800<br />

Sample @22°C after 168h<br />

in 85%-85°C chambre<br />

Dried sample @22°C<br />

100<br />

600<br />

0<br />

0 50 100 150 200 250<br />

-100<br />

(-) Warpage<br />

Temperature (°C)<br />

Fig. 6: Warpage measured by INSIDIX equipment during a reflow<br />

process (red curve is when temperature goes up, the blue curve refers<br />

to the cooling down of the package).<br />

The warpage during cooling is very similar to the warpage<br />

measured during heating. This indicates that the deformation<br />

is fully reversible and elastic (no plasticity neither creep<br />

effects).<br />

D. Measurement result for a “REF” sample<br />

Warpage (µm)<br />

Although the “REF” samples haven’t been stored in dry<br />

package and could take up moisture for more than one year,<br />

it has a very similar warpage vs. temperature behaviour as<br />

the dried one. With the “REF one, there is a delta warpage of<br />

about 50µm after the solder reflow, which could indicate that<br />

the absorbed moisture cause additional pressure during the<br />

heating up, resulting in a small permanent deformation after<br />

the reflow process.<br />

500<br />

400<br />

300<br />

200<br />

100<br />

0<br />

0 50 100 150 200 250<br />

-100<br />

-200<br />

(-) Warpage<br />

"DRY"<br />

(+) Warpage<br />

"REF"<br />

Temperature (°C)<br />

Fig. 7: Warpage measured by INSIDIX equipment during a reflow<br />

process for “DRY” and “REF” sample (see description in Table 1).<br />

E. IMPACT of moisture on warpage profile<br />

Even after being in the moisture oven (168h in 85%<br />

humidity, 85°C), the initial warpage is already much<br />

different from the dried and reference sample, as shown in<br />

Fig. 8. The additional bowing is caused the expansion of the<br />

overmould due to the moisture uptake.<br />

400<br />

200<br />

0<br />

0 10 20 30 40 50<br />

Distance from one corner (mm)<br />

Fig. 8: Z-profile of a dried sample and a sample which has been in the<br />

moisture oven for 168h (both at room temperature)<br />

It was also found that there is no difference between<br />

“WET1” and “WET2” conditioned samples. Probably, in<br />

both conditions, the mould materials are fully saturated by<br />

moisture.<br />

The warpage measurement trend in a reflow profile for the<br />

“WET” samples are shown in Fig. 9 and compared with the<br />

“DRY” and “REF” samples (the graphs show the average of<br />

5 measured samples).<br />

The “wet” sample is a clrealy different story. Due to the<br />

moisture uptake, the component has already an initial<br />

warpage of 200µm, which is caused by expansion of the<br />

overmould due to moisture uptake. After the reflow process,<br />

the warpage does not come back on the same curve, but will<br />

return to warpage , even with different sign. This is caused<br />

by a strong plastic deformation, strengthen by the vapour<br />

pressure.<br />

Warpage (µm)<br />

REF DRY WET<br />

700<br />

600<br />

500<br />

400<br />

300<br />

200<br />

100<br />

0<br />

-100 0 50 100 150 200 250<br />

-200<br />

(-) Warpage<br />

-300<br />

Temperature (°C)<br />

(+) Warpage<br />

Fig. 9: Measured warpage during a reflow temperature profile (from<br />

room temperature to 230°C and back)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 115<br />

ISBN: 978-2-35500-010-2


III. FINITE ELEMENT MODELLING OF BGA WARPAGE<br />

A finite element model is built for this package (Fig. 11).<br />

Non-linear material properties are applied both for the<br />

overmould and BT laminate, in order to be able to include<br />

the T g for both materials.<br />

7-9 October 2009, Leuven, Belgium<br />

Out-of-plane deformation (µm)<br />

350<br />

300<br />

250<br />

200<br />

150<br />

100<br />

Experiment<br />

FEM - no expanding<br />

strain<br />

FEM - 0.05% strain<br />

FEM - 0.1% strain<br />

FEM - 0.15% strain<br />

50<br />

0<br />

0 5 10 15 20 25<br />

Distance to center over the diagonal (mm)<br />

Fig. 12: Simulations of warpage due to moisture uptake (vs.<br />

experiment)<br />

IV. CONCLUSIONS<br />

Warpage has been successfully measured using the<br />

INSIDIX equipment. For the particular PBGA package, a<br />

significant impact of moisture uptake and the Tg of the<br />

overmould has been found. It was shown that FEM can be<br />

used to estimate warpage of large package under reflow<br />

conditions.<br />

Fig. 10: 3D Finite Element Model simulating the thermally induced<br />

mechanical deformation during a reflow process<br />

Warpage (µm)<br />

500<br />

400<br />

300<br />

200<br />

100<br />

0<br />

0 50 100 150 200 250<br />

-100<br />

-200<br />

DRY<br />

Temperature (°C)<br />

FEM<br />

Fig. 11: Comparison between FEM analysis and measurement of the<br />

warpage of the 35by35 mm 2 PBGA<br />

The authors would like to thank Veerle Simons at IMEC<br />

for her support in the measurements. This work has been<br />

also supported by the ELIAS project, funded by the IWT and<br />

MEDEA+.<br />

REFERENCES<br />

[1] IPC/JEDEC Joint Industry Standard, J-STD-020C,<br />

“Moisture/Reflow Sensitivity Classification for Plastic Integrated Circuit<br />

Surface Mount Devices”, July 2004.<br />

[2] B.T. Vaccaro, I.I. Shook, E. Thomas,J.J. Gilbert, C. Horvath, A.<br />

Dairo and G.J. Libricz, PBGA package warpage and impact on traditional<br />

MSL classification for Pb-free assembly, SMTA International conference,<br />

2005.<br />

[3] R.L. Shook, J.J Gilbert, E. Thomas, B.T. Vaccaro, A. Dairo, C.<br />

Horvath, G.J. Libricz, D. L. Crouthamel, and D.L. Gerlach, “Impact of<br />

Ingressed Moisture and High Temperature Warpage Behavior on the Robust<br />

Assembly Capability for Large Body PBGAs”, Proceedings of Electronic<br />

Components and Technology Conference, 2003, pp. 1823-1828.<br />

[4] Richard, I.; Fayolle, R.; Smyth, A.; Lecomte, J.-C, New<br />

experimental approach for failure prediction in electronics: topography and<br />

deformation measurement complemented with acoustic microscopy,<br />

EuroSimE 2005, pp 305 – 310.<br />

[5] M. Hertl, D. Weidmann, and J-C. Lecomte, Process Optimization:<br />

Influence of Heating and Cooling Rate on the Thermo-Mechanical Stress<br />

Generated in Components, EMPC2009, June 15th – 18th 2009, Rimini,<br />

Italy<br />

.<br />

With the same simulation model, the out-of-plane<br />

deformation over the diagonal of the package was calculated<br />

for different expansion strains. Comparing the results with<br />

the experiment, it was found that the moisture uptake by the<br />

overmould causes an expansion of about 0.12%.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 116<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Evaluation of Materials for High Temperature IC<br />

Packaging<br />

Robert Klieber, Renee Lerch<br />

Fraunhofer Institute for Microelectronic Circuits and Systems<br />

Finkenstr. 61<br />

D47057 Duisburg, Germany<br />

Abstract- Fast decrease of device dimensions, rapid growth of<br />

the number of elements per integrated circuit device (IC) and<br />

the increasing amount of interconnections between the chip and<br />

the substrate lead to a more complex design and production of<br />

the ICs and to higher demands towards packaging technology<br />

as well. This is especially true in the field of high temperature<br />

electronics with operating temperatures of up to 250°C. We<br />

present an evaluation of materials for both the adhesive and the<br />

encapsulant for packaging of high temperature ICs for this<br />

temperature range. Among the available materials only glassbased<br />

formulations could withstand extended periods of heat<br />

and substantial numbers of temperature cycles. In addition,<br />

samples of high temperature CMOS ICs (capacitive pressure<br />

sensors and EEPROMs) have been successfully assembled<br />

using these materials.<br />

I. INTRODUCTION<br />

Fast decrease of device dimensions, rapid growth of the<br />

number of elements per integrated circuit device (IC) and the<br />

increasing amount of interconnections between the chip and<br />

the substrate lead to a more complex design and production<br />

of the ICs and to higher demands towards packaging<br />

technology as well. The rise in power density due to the<br />

increasing miniaturization of the device size and the interest<br />

of industry for ICs working in high temperature<br />

environments lead to high operation temperatures of the<br />

integrated circuit devices and the encapsulation. Within<br />

automotive, aerospace, space, geothermal wells and nuclear<br />

power applications high temperature devices operate<br />

between 150°C to 600°C. These integrated circuits have to<br />

be protected from mechanical damage, moisture and<br />

radiation, which could negatively affect the device<br />

performance, reliability or lifetime. The proper choice of the<br />

encapsulant therefore enhances the reliability of the IC<br />

devices and improves their mechanical and physical<br />

properties for these high temperatures. While adhesives and<br />

encapsulants are a common technique for die-bonding and<br />

encapsulation of ICs for applications up to 150°C, these<br />

materials fail in high temperature applications for<br />

temperatures higher than 150°C.<br />

II. EXPERIMENTAL SETUP<br />

To monitor the performance of the die attach and the<br />

encapsulant materials, samples have been prepared and<br />

tested in a 250°C ambient and in thermal cycling<br />

experiments, cycling the samples between room temperature<br />

(25°C) and 250°C. At designated time stamps and cycle<br />

amounts tests have been performed at room temperature.<br />

To evaluate the performance of the die adhesives chips of<br />

the size 3 mm 2 were bonded into standard DIL24 ceramic<br />

housings with a gold surface and onto ceramic plates. The<br />

thickness of the adhesives layers was between 20 and 40 μm<br />

after curing. The required die shear force to take off the<br />

chips was measured in accordance with MIL-STD-883<br />

Method 2019 by applying a force parallel to the surface of<br />

the substrate as shown in Fig. 1.<br />

In order to evaluate the performance of the various<br />

encapsulants a die of the size 2x1.5x1 mm 3 was bonded with<br />

the best die adhesive material into a DIL24 ceramic housing.<br />

25 μm thick aluminum bond wires have been used to create<br />

electrical connections between each DIL24 housing pad and<br />

the surface of the die having a gold layer on the top surface.<br />

The electrical connection between the pads of the housing<br />

and the die were tested by a measurement of the resistance<br />

between two contacts of the DIL24 housing as shown in<br />

Fig. 2 after the encapsulation. Also visual inspections of the<br />

encapsulants have been made to reveal defects like flaws and<br />

fractures.<br />

Fig. 1. Schematic drawing of the shear force measurement for the die<br />

attachment test<br />

Fig. 2. Schematic drawing of the conduction measurement for the<br />

encapsulation test<br />

This work was partially funded by the government of the state of North<br />

Rhine-Westphalia as part of the "Hochtemperaturelektronik" program.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 117<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

III. DIE ATTACHMENT<br />

To attach integrated circuits to a substrate die adhesives<br />

are used in packaging technology. Several products were<br />

chosen from a worldwide survey of potential candidates for<br />

die attach, which mainly differ in their coefficient of thermal<br />

expansion (CTE) and their hardness. Table 1 summarizes<br />

the CTEs of important materials. A CTE mismatch between<br />

the die attach material and the die can cause shear loading<br />

and lead to high mechanical stress in the silicon chip, which<br />

can result in a breakage of large dies at high temperatures.<br />

This can be partly compensated by a high flexibility, - a low<br />

hardness of the die attach material. Besides common used<br />

products like epoxies, polyimides (medial hardness, medial<br />

CTE mismatch) also glasses (high hardness, low CTE<br />

mismatch) have been tested.<br />

Fig. 3a and 3b show the results of the storage test at 250°C<br />

for different time stamps. The shear force measurement<br />

system was limited to maximum measurable shear force of<br />

49 Newton. Each point in the plots represents an average of<br />

three shear force measurements. The shear force required to<br />

take off the chip decreases for increasing storage time for the<br />

polyimides and the epoxy and after three hundred hours the<br />

required shear force was below 19 N for all die attach<br />

materials except the glasses. According to the MIL standard<br />

a shear force of 19 N is the minimum allowed shear force the<br />

chip has to resist for this chip size. After 1000 hours the<br />

adhesion strength of the polyimide and the epoxy nearly<br />

vanishes. The glasses showed no detectable loss of their<br />

adhesion strength up to 6000 hours.<br />

The cycling tests show similar results (Fig. 4a and 4b).<br />

The shear force required to take off the chip decreases fast<br />

for the epoxy and nearly vanishes after 500 cycles. The<br />

polyimides are below MIL standard after 1500 cycles. In<br />

contrast the glasses show no detectable decrease of their<br />

adhesion strength up to 2500 cycles, indicating that die<br />

attach material with a CTE in the range of the substrate and a<br />

high shore hardness can be used for die attachment.<br />

Therefore these glasses were also used for high temperature<br />

die attachment for the subsequent encapsulant tests.<br />

Fig. 3b. Maximum required shear force to lift off the chip as a function of<br />

storage time at 250°C for glasses.<br />

Fig. 4a. Maximum required shear force to lift off the chip as a function of<br />

the number of cycles between 25°C and 250°C for polyimides and an<br />

epoxy. The dashed line indicates the minimum allowed shear force for a 3<br />

mm 2 die.<br />

Fig. 3a. Maximum required shear force to lift off the chip as a function of<br />

the storage time at 250°C for polyimides and an epoxy. The dashed line<br />

indicates the minimum allowed shear force for a 3 mm 2 die.<br />

Fig. 4b. Maximum required shear force to lift off the chip as a function of<br />

the number of cycles between 25°C and 250°C for glasses.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 118<br />

ISBN: 978-2-35500-010-2


TABLE I<br />

COEFFICIENTS OF THERMAL EXPANSION AND SHORE HARDNESS<br />

Material CTE [ppm/K] Shore Hardness<br />

Silicon 2 - 4<br />

Al203 (Substrate) 6,50<br />

Glass 4 - 7 >90<br />

Epoxy 19 - 65 60-80<br />

Polyimide 20 - 120 60-80<br />

Silicone 200 - 300 25-30<br />

7-9 October 2009, Leuven, Belgium<br />

electrical connections after more than 14.000 temperature<br />

cycles and 14.000 hours of storage.<br />

IV. ENCAPSULATION / GLOB-TOP<br />

After die attach and wire bonding the integrated circuits<br />

have to be protected from mechanical damage, moisture and<br />

radiation by an encapsulant. Thirteen different encapsulants<br />

have been tested. Besides epoxies and polyimides, silicones<br />

and glasses have been tested. The materials were selected<br />

according to the following considerations:<br />

• The low hardness of the silicones can compensate<br />

different thermal expansion coefficients between the<br />

substrate, the die and the bond wires (low hardness,<br />

high CTE mismatch to the die).<br />

• As the thermal expansion coefficient of the polyimides<br />

and the epoxies is in the range of the bond wires, the<br />

expansion of the encapsulant should not tear up the<br />

bond wires (medial hardness, medial CTE mismatch to<br />

the die).<br />

• As glasses are very hard and their thermal expansion<br />

coefficient is lower than the CTE of the bond wire,<br />

glasses will force the bond wire to their expansion<br />

(high hardness, low CTE mismatch to the die).<br />

Fig. 5a and 5b show the results of the storage test at 250°C<br />

for different time stamps. Most of the encapsulated chips<br />

show a steadily increasing amount of defect wire bonds with<br />

the passing of storage time. All encapsulants made of<br />

silicone, epoxy or polyimide show first wire bond breakage<br />

after 25 to 50 storage hours. In contrast to the epoxy<br />

encapsulants, which destroyed all bond wires after 1000 h,<br />

not all bond wires had been destroyed in systems<br />

encapsulated with polyimide or silicone. Due to their elastic<br />

behavior silicones sometimes reconnect broken bond wires.<br />

The surface of the silicones do not show any change,<br />

whereas flaws and fractures can be found on the surface of<br />

the polyimide and epoxy encapsulants. Only the glasses<br />

show no wire bond breakage for up to 14.000 h.<br />

Fig. 6a and 6b demonstrates the results of the cycle test<br />

between room-temperature and 250°C. Most of the<br />

encapsulants destroy all bond wire connections after 50<br />

cycles. After 500 temperature cycles all bond wires<br />

encapsulated with silicone or epoxy and also one of the<br />

polyimides have been destroyed completely. The second<br />

polyimide encapsulant shows a low amount of destroyed<br />

bond wires (


7-9 October 2009, Leuven, Belgium<br />

VI. APPLICATIONS<br />

Several EEPROM ICs and capacitive pressure sensor<br />

chips have been bonded into a standard DIL housing with<br />

the glass die bond material. Afterwards the chips have been<br />

contacted by standard aluminum bond wires (25 µm) and<br />

encapsulated with glass. Fig. 8 shows an EEPROM chip for<br />

high-temperature operation, encapsulated by glass in order to<br />

protect the chip and the bond wire connections from<br />

mechanical damage, moisture and radiation. In current tests<br />

the chips have shown no failure during storage tests at 250°C<br />

for 800 h.<br />

Fig. 6b. Percentage of wire bond breakage as a function of the number of<br />

cycles between 25°C and 250°C for epoxies and glasses.<br />

V. SENSITIVITY OF TRANSISTOR PARAMETERS TO<br />

PACKAGING<br />

While silicone-, polyimide- and epoxy-based<br />

encapsulation processes employ rather moderate curing<br />

temperatures of about 200°C or less, process temperatures<br />

for glass encapsulants exceed 450°C for up to 1 hours. As<br />

temperatures in this range are also present in the last stages<br />

of CMOS wafer fabrication, there was concern that they<br />

could adversely affect CMOS device parameters.<br />

Therefore test structures were prepared and fabricated in<br />

the IMS H10 High-Temperature SOI process to measure the<br />

effects of the glass encapsulation process on diode and<br />

transistor parameters. The parameters were measured as<br />

soon as possible after each assembly step, and during<br />

subsequent storage at 250°C. Fig. 7 shows the typical<br />

variation of the measured parameters: although there is a<br />

peak deviation associated with the high-temperature filling<br />

step, it is nearly gone after an 816 hour bake. At all times<br />

the parameters were well within the range allowed for<br />

process variations. Except for the time immediately after the<br />

filling step, the deviations are on the same order as those for<br />

standard encapsulation methods.<br />

Fig. 8: Picture of the high-temperature EEPROM IC, before and after<br />

encapsulation.<br />

VII. CONCLUSION<br />

The reliability and performance of different die attach<br />

materials and encapsulants have been examined in storage<br />

and cycling experiments. Although specified to work at<br />

temperatures at least up to 250°C, many encapsulants and<br />

die-bonding materials fail in these tests after short periods or<br />

a small number of temperature cycles.<br />

Glass-based die attach and encapsulation materials<br />

showed the best performance of the tested materials. With<br />

this approach standard aluminum wire bonding techniques<br />

can be used with glass as die attach and packaging material<br />

for high temperature electronic assembly of integrated<br />

circuits. These die attach materials showed the best<br />

performance and no detectable loss in shear strength up to<br />

5000 cycles and for more than 5000 storage hours. It was<br />

also the best packaging material, which showed no bond<br />

wire failure up to 14.000 temperature cycles and storage<br />

hours.<br />

With this glass-based approach SOI chips have been<br />

assembled with glass as die attach and packaging material,<br />

with promising results so far.<br />

Fig. 7. Typical variation of a device parameter (NMOS threshold voltage)<br />

over the stages of glass-based assembly and subsequent high-temperature<br />

bake (square). For comparison, the variation during standard epoxy based<br />

assembly is shown (circle)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 120<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Electro-thermal modeling of different LEP-thickness<br />

white OLEDs<br />

Ernő Kollár, Gusztáv Hantos @eet.bme.hu<br />

Department of Electron Devices, Budapest University of Technology and Economics<br />

H-1111 Budapest, Goldmann tér 3., Hungary<br />

Abstract-In our project the final purpose is to develop a costeffective<br />

roll-to-roll technology of fabricating large-surface,<br />

high-output OLED (Organic Light Emitting Diode) devices for<br />

intelligent lighting applications.<br />

The electrical and optical characteristics of multi-layered<br />

OLEDs depend on the thickness of the layers. To reach the<br />

highest efficiency in an application is needed to optimize the<br />

each layers. In this paper we have measured the I-V<br />

characteristics of the different LEP (Light Emitting Polimer)<br />

thickness white OLEDs. We have examined in 50C wide<br />

temperature range. We have created an electro-thermal model,<br />

which describe the temperature and LEP thickness dependence<br />

of the forward bias.<br />

The results work as the feedback for the fabrication process<br />

and the OLED planning to our project partner.<br />

I. INTRODUCTION<br />

In our research project called Fast2Light [1] the overall<br />

objective is to develop a novel, cost-effective, high-output,<br />

roll-to-roll, large-surface deposition process for fabricating<br />

light-emitting polymer-OLED [2] foils for intelligent<br />

lighting applications. The tested OLED device was realized<br />

on thin glass substrate, which was provided by a project<br />

partner.<br />

On the DUTs there are more different size OLEDs and<br />

OLED groups. This design allows of the examination of the<br />

pixel size devices and more cm 2 size ones in same<br />

technology. The groups allows of gaining certain<br />

information about fabrication process. In this paper we deal<br />

with the about 10 mm 2 lighting surface OLEDs as is shown<br />

by arrows on Fig.1.<br />

Fig. 2. The layer structure of the OLED device under test<br />

The project partner has provided 60, 80 and 100 nm LEP<br />

thickness white OLED samples. Our purpose is to create a<br />

model which helps to choose the optimal LEP thickness<br />

adapted to the needs. Such a need can be, for example, the<br />

principle of operation at a standard power supply.<br />

The layer structure of an OLED device is shown in Fig. 2.<br />

[3]<br />

II.<br />

MEASUREMENT ARRANGEMENT<br />

We have studied the forward bias above 4 V. The end<br />

points of I-V characteristics depend strongly on the<br />

temperature and the LEP thickness, that’s why this point is<br />

between 5 V and 9 V. For comparison we have used the<br />

22 mA/cm 2 value as suggested limit by provider.<br />

The measurement was carried out on GPIB and RS232<br />

controlled conventional laboratory equipment. The OLEDs<br />

were attached to a cold-plate of variable constant<br />

temperature. We have applied an analogue measuring point<br />

changer for the full automatic measuring. The I-V<br />

characteristics were measured between 5 °C and 50 °C. The<br />

power supply step was 0.01 V in every second. We<br />

measured all of three OLEDs on every sample.<br />

Fig. 1. The 10 mm 2 lighting surface OLEDs on the device under test<br />

III.<br />

FORWARD BIAS<br />

Drawing the forward I-V values in log-log scale results<br />

straight lines in two ranges. We have considered the forward<br />

current of the device as the sum of two power functions [4].<br />

The parameters of the power functions are: m for the<br />

exponent and b for the factor. The LOW and HIGH<br />

subscripts show in which forward bias range the power<br />

function is effective (1).<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 121<br />

ISBN: 978-2-35500-010-2


I<br />

OLED<br />

7-9 October 2009, Leuven, Belgium<br />

b U<br />

b U<br />

(1)<br />

LOW<br />

mLOW m HIGH<br />

HIGH<br />

Current [A]<br />

1,E-02<br />

1,E-03<br />

1,E-04<br />

1,E-05<br />

LEP = 60 nm<br />

y = 2,144E-08x 6,729E+00<br />

R 2 = 1,000E+00<br />

LEP = 80 nm<br />

y = 2,350E-08x 6,194E+00<br />

R 2 = 1,000E+00<br />

LEP = 100 nm<br />

y = 2,464E-08x 5,287E+00<br />

R 2 = 9,999E-01<br />

1 10<br />

Voltage [V]<br />

Fig. 3. The effect of the LEP thickness; forward I-V characteristics at 25 o C<br />

and the fitted power functions<br />

In our case above 4 V the HIGH range power function is<br />

effective. For simplicity we deal with the only HIGH range<br />

and we leave HIGH subscript. We use it as follow (2):<br />

I<br />

OLED<br />

mT<br />

, d <br />

T<br />

, d bT<br />

, d U<br />

LEP<br />

LEP<br />

where T is the temperature and d LEP is the thickness of the<br />

LEP.<br />

We have fixed the glass substrate to a cold-plate. In the<br />

case of power dissipation the temperature of the LEP could<br />

be a bit higher than that of the cold-plate. In other words, the<br />

tail of the I-V curve may show a certain degree of selfheating.<br />

We measured this temperature error by an infrared<br />

camera. This error is less than 1 C at the tail of curve.<br />

IV.<br />

LEP<br />

PARAMETER FITTING<br />

We carried out the fitting of power function, and we have<br />

tried to determinate m and b parameters on each curve. Fig. 4<br />

shows the changing of m parameter. If the LEP thickness or<br />

the temperature increases, then the exponent decreases.<br />

Similarly we plotted the b parameter (Fig. 5). If the LEP<br />

thickness or the temperature increases, then the factor<br />

increases.<br />

m as exponent<br />

8<br />

7<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

0<br />

0 20 40 60 80 100<br />

Thickness of LEP [nm]<br />

Temperature<br />

Fig. 4. The effect of the LEP thickness and temperature for the m exponent<br />

(Temperature range is between 5 and 50 C)<br />

(2)<br />

b as factor<br />

10<br />

8<br />

6<br />

4<br />

2<br />

*1E-8<br />

Temperature<br />

0<br />

0 20 40 60 80 100<br />

Thickness of LEP [nm]<br />

5. The effect of the LEP thickness and temperature for the b factor<br />

(Temperature range is between 5 and 50 C)<br />

These parameters vs. LEP thickness able to change<br />

polynomial way. The temperature dependence of m and b<br />

act as polynomial. That’s why we suggest matrixes for m and<br />

b.<br />

V. MODEL<br />

The problem is similar in the case of two parameters. We<br />

use x instead of m and b (3):<br />

x<br />

T<br />

d<br />

LEP<br />

T X d<br />

LEP<br />

, (3)<br />

where T is a temperature row matrix, d LEP is the thickness<br />

column matrix, X is the parameter matrix. If the temperature<br />

and the LEP thickness is known, then the x(T , d LEP ) matrix<br />

product will give only a number.<br />

As follows we show matrixes when the polynomials are<br />

quadratic (4):<br />

0<br />

x<br />

<br />

11<br />

x12<br />

x13<br />

d<br />

LEP<br />

0 1 2<br />

<br />

<br />

1<br />

x T,<br />

d<br />

LEP<br />

T T T<br />

<br />

x21<br />

x22<br />

x23<br />

d<br />

LEP (4)<br />

<br />

<br />

<br />

2<br />

x<br />

<br />

31<br />

x32<br />

x33<br />

d<br />

LEP <br />

Where the upper index means power exponent.<br />

We determined the M and B matrixes (5), these are:<br />

5.76E<br />

5<br />

M <br />

<br />

<br />

3.30E<br />

6<br />

<br />

3.21E<br />

8<br />

2.21E<br />

3<br />

B <br />

<br />

<br />

2.49E<br />

5<br />

<br />

6.98E<br />

8<br />

VI.<br />

2.26E<br />

2 6.68E<br />

0 <br />

2.05E<br />

4 4.03E<br />

2<br />

<br />

<br />

,<br />

2.64E<br />

8<br />

5.05E<br />

4<br />

3.42E<br />

2 3.14E<br />

1<br />

3.59E<br />

4 4.26E<br />

3<br />

<br />

<br />

4.73E<br />

6 3.28E<br />

5<br />

CONCLUSION<br />

We have constructed the electro-thermal model for<br />

different LEP-thickness white OLEDs. The model uses<br />

power function to approximate the forward bias above 4 V.<br />

(5)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 122<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

The parameters of the power function are matrixes. Knowing<br />

the value of the LEP thickness, the temperature and the<br />

parameter matrixes than I-V characteristic of WOLED can<br />

be determined easy way.<br />

The knowing M and B matrixes helps the design of<br />

electrical property of the WOLED.<br />

ACKNOWLEDGMENT<br />

This work has been supported by the ICT-<br />

2007.3.2/216641 Fast2Light Project of the Framework 7<br />

program of the EU.<br />

[1] http://www.fast2light.org/<br />

REFERENCES<br />

[2] Klaus Müllen, Ullrich Scherf “Organic Light Emitting Devices,”<br />

Synthesis, Properties and Applications, 2006, Wiley<br />

[3] Milan Stolka, Organic Light Emitting Diodes (OLEDs) for General<br />

Illumination Update 2002, AN OIDA TECHNOLOGY<br />

ROADMAP, August, 2002, http://www.OIDA.org<br />

[4] Ernő Kollár, Imre Zólomy, Andrés Poppe, “Electro-thermal<br />

modeling of large-surface OLED” Symposium on Design, Test,<br />

Integration and Packaging of MEMS/MOEMS (DTIP'2009). Rome,<br />

Italy, pp 239-242, 1-3, April 2009<br />

KEY WORDS<br />

OLED, electro-thermal, LEP, modeling<br />

BRIEF BIOGRAPHY<br />

Ernő Kollár received his M.Sc. degree in electrical<br />

engineering at the Budapest University of Technology and<br />

Economics, Hungary, in 2001. His field of research includes<br />

thermal testing, compact modeling, heat-flux measuring, as<br />

well as thermal conductivity measuring by evaluation of<br />

structure function. His latest research interests are electrothermal<br />

model generation, power LEDs, OLEDs.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 123<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE<br />

Torsten Hauck*, Wim Teulings*, Evgenii Rudnyi **<br />

* Freescale Semiconductor Inc.<br />

** CADFEM GmbH<br />

Abstract<br />

In this paper we will present an efficient method allowing thermal<br />

modeling, simulation and design of a multichannel power semiconductor<br />

device on Printed Circuit Board (PCB). The method starts<br />

with a finite element discretization of the heat equation at the<br />

continuous field level. In a second step, the resulting large set of<br />

differential equations is approximated by a reduced-order model by<br />

means of the well-known Arnoldi algorithm. Next, the reduced-order<br />

thermal model is represented by an electrical equivalent network<br />

allowing SPICE simulation. The new approach allows to<br />

simultaneously evaluate the temperature of the semiconductor<br />

junctions and of the PCB track underneath the semiconductor device.<br />

The entire model generation procedure was automated and is now<br />

available for use with the software tool ANSYS/Workbench. This<br />

allows the development of a set of thermal SPICE models of different<br />

devices and different PCB design layouts. We will demonstrate the<br />

method by performing an electro-thermal analysis of Freescale's new<br />

eXtreme Switch devices. These devices typically consist of 4 highside<br />

MOSFET switches with associated drive-, diagnostic- and<br />

protection circuitry integrated in a Power Quad Flat No-Lead (PQFN)<br />

package. A typical application demonstrates the validity of the<br />

followed approach.<br />

1. Introduction<br />

It is important to keep the maximum junction temperature of a<br />

MOSFET power die within the authorized range. Otherwise<br />

overheating will impact the reliability and cause device failure. On the<br />

other hand, the PCB surface, used as a heat-sink, must be minimized<br />

to save costs. Hence, the ability to predict the thermal performances of<br />

a particular design layout is a crucial element when a cost-optimized<br />

and reliable system must be designed. In this paper we will present an<br />

efficient modeling method for design and simulation of multichannel<br />

power semiconductor devices in a realistic applicative environment.<br />

We start with a full scale finite element model (see Section 2), and<br />

then introduce the model order reduction (see Section 3). That allows<br />

us to reduce the complexity of the original final element model and<br />

makes it possible to go to system level simulation. In section 4 we will<br />

briefly describe the transformation of the state space representation of<br />

the dynamic heat equation into an electrical equivalent circuit,<br />

represented by a SPICE netlist. The entire system and the simulation<br />

of selected application cases, including electro-thermal coupling, will<br />

be shown Section 5.<br />

2. Finite Element Modeling<br />

The most accurate thermal model is generally achieved by means<br />

of finite element modeling, but this approach is much too time<br />

consuming to allow an interactive design optimization. Here the<br />

original geometry is replicated in the model where additionally the<br />

thermal properties of each part are specified. Figure 1 shows pictures<br />

of the eXtreme Switch device. The PQFN package is a Multi Chip<br />

Module (MCM), which carries one control- and one power die<br />

interconnected through copper leadframe, heavy gauge aluminum and<br />

gold bonding wires. During module assembly, the PQFN pads are<br />

soldered onto a printed circuit board. Figure 2 shows the associated<br />

solid model as introduced in a CAD-tool.<br />

Drain pad<br />

Fig.1: Semiconductor package, left: bottom view with exposed<br />

pads, right: top view of a de-capsulated package<br />

Fig. 2: Solid model of the assembly of package and PCB<br />

During finite element meshing the solid model is divided into a<br />

finite number of elements (see Fig 3). The partial differential equation<br />

for transient heat conduction reads<br />

∂T<br />

∇k∇T<br />

+ Q − ρ C = 0 . (1)<br />

∂t<br />

With the finite element discretization Eq (1) is replaced by the<br />

following set of ordinary differential equations:<br />

H<br />

⋅ T & + K ⋅ T = F ⋅ p J , (2)<br />

where the matrices H, K and F represent heat capacity, thermal<br />

conductivity and load distribution. Vectors T an p J represent all nodal<br />

temperatures and the heat dissipation power in the particular transistor<br />

junctions.<br />

heavy gauge<br />

wires<br />

Leadframe<br />

control<br />

chip<br />

power<br />

chip<br />

PCB<br />

Fig. 3: Finite element mesh (mold compound removed).<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 124<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Eq (2) could be directly converted to a Kirchhoff-network then defines the inputs and outputs and generates the files necessary to<br />

consisting of thermal resistors and capacitors [1]. But, by the nature of run MOR for ANSYS. This way the process to generate the reduced<br />

the finite element method, the dimension of such a model would model is fully automated. The time to generate the reduced model is<br />

become rather high. For example, the number of degrees of freedom comparable with that of the static solution and for the model in Fig. 3<br />

of the model in Fig 2 would approach 300 000 and is out of reach for it is about 100 s.<br />

effective representation by an electrical equivalent circuit.<br />

A comparison of one transient junction temperature response in<br />

There are many ways to develop a compact dynamic thermal ANSYS (dimension of the model about 300000) with the reduced<br />

model [2][3] but in our view model order reduction [4][5][6] model of the dimension 30 is shown in Fig. 7.<br />

possesses the most attractive properties.<br />

3. Model Order Reduction<br />

The model order reduction is based on the assumption that the<br />

movement of a high-dimensional state-vector can be well approximated<br />

by a small dimensional subspace (Fig 5 left). Provided this<br />

subspace is known the original system can be projected on it (see Fig<br />

5). The main question is how to find the low dimensional subspace<br />

that possesses good approximating properties. It happens that a very<br />

good choice is a Krylov subspace [4]-[6].<br />

The model reduction theory is based on the approximation of the<br />

transfer function of the original dynamic system. It has been proved<br />

that in the case of Krylov subspaces, the reduced system matches<br />

moments of the original system for the given expansion point. In other<br />

words, if we expand the transfer function around the expansion point,<br />

first coefficients will be exactly the same, as for the original system.<br />

Mathematically speaking this approach belongs to the Padé<br />

approximation and this also explains good approximating properties of<br />

the reduced models obtained through modern model reduction<br />

methods. The description of the algorithm can be found in [4]-[6].<br />

Fig. 6: The structure of MOR for ANSYS.<br />

Fig. 5: Model reduction as a projection of the high dimensional<br />

system onto the low-dimensional subspace.<br />

The reduced low order system is given as a state space<br />

representation of the transient heat equation with the state vector of<br />

generalized variables z, the evolution matrices E and A, the input<br />

matrix B and the output matrix C. The vector T J comprises all<br />

transistor junction temperatures. The required number of state<br />

variables is determined by an error estimation procedure [7].<br />

E⋅ z&<br />

= A ⋅ z + B ⋅ p<br />

T = C ⋅ z<br />

J<br />

J<br />

The software tool “MOR for ANSYS” [5] has been used to<br />

perform model reduction on the finite element models developed in<br />

ANSYS Workbench. The block scheme of the software is shown in<br />

Fig 6.<br />

The software reads system matrices from ANSYS FULL files,<br />

runs the order reduction algorithm and then writes the reduced<br />

matrices out. The process of generating FULL files in ANSYS<br />

Workbench is automated through scripting. The developer defines<br />

named selections to describe the power dissipations in the model. The<br />

script (so called a command snippet) takes them as arguments and<br />

(3)<br />

Fig. 7: The relative difference between the thermal response in<br />

ANSYS and that produced by the reduced mode.<br />

4. Model Representation by an electrical equivalent circuit<br />

The state space format is very common for data flow analysis<br />

tools. In system analysis it is handled as a dynamic block representing<br />

the heat transfer of a semiconductor package. Alternatively, the<br />

reduced system can be rewritten as an equivalent RC network model<br />

[6]. To achieve this, we diagonalize the state space matrices. The<br />

transformation matrix U is normalized such that the evaluation matrix<br />

E becomes an identity matrix. Each evolution equation can be<br />

replaced by one RC-cell with a unit capacitance and a resistance being<br />

the reciprocal of the associated diagonal element of evolution matrix:<br />

T<br />

U ⋅ E⋅<br />

U = I<br />

U<br />

1<br />

( )<br />

1 , , L ,<br />

T 1<br />

A ⋅ U = −Diag<br />

R1<br />

R2<br />

R n<br />

⋅ (4)<br />

Input and output matrices B and C of the state space are now<br />

represented by controlled voltage_ and current sources. Fig. 8 shows a<br />

simplified thermal network model. The number of junction nodes was<br />

reduced to two for simplicity of the schematics.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 125<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

The transformation of the reduced matrices in the form of Eq (4)<br />

to a SPICE netlist was automated through a Python script. The script<br />

reads the reduced matrices in the Matrix Market format produced by<br />

the MOR for ANSYS and then exports thus obtained subcircuit netlist.<br />

As opposed to common CAUER- or FOSTER- type RC- circuits,<br />

the physical interpretation of the state space representation of the heat<br />

transfer presented here is not as obvious. The thermal state of the<br />

structure is completely described by the state vector. The evolution of<br />

each state variable in time is determined by the RC-cells. Heat sources<br />

(power dissipation) and resulting temperature readings are mapped by<br />

the controlled current and voltage sources. The state space model and<br />

its representation as SPICE netlist automatically includes all thermal<br />

cross couplings and multidimensional heat flow directions. This is a<br />

clear advantage for multichannel devices, such as Freescale’s dual or<br />

quad analog power switches, where several power MOSFETs can be<br />

controlled independently by the user.<br />

drain pad<br />

Fig. 9: an analog power IC (eXtreme Switch)<br />

Two separate SPICE netlists were generated for the heat transfer<br />

in device and PCB. They are defined as simple sub circuits. The<br />

system model is easily done by importing the thermal subcircuits and<br />

the final assembly of thermal and electrical circuits. This can also be<br />

done with a schematics editor. The output node of the thermal device<br />

model is connected to the input node of the thermal PCB model (ref.<br />

Fig. 11). This approach allows to connect the PCB model of any other<br />

PCB layout to the same switching device just by replacing the<br />

associated SPICE sub circuit.<br />

The system simulation is demonstrated for a power module that<br />

drives four bulb lamps with high inrush currents. All channels of the<br />

eXtreme Switch are connected to a 12V battery. Each output is<br />

connected to a bulb lamp.<br />

The steady state load current level of one bulb is about 4A,<br />

whereas the maximum inrush current goes up to about 70A. The<br />

associated power dissipation is computed within each of the four high<br />

side power switch models, and fed into the corresponding terminals of<br />

the thermal device model.<br />

Fig.8: Electrical equivalent circuit representation of thermal state<br />

space model for multichannel devices (with exposed pad).<br />

The voltage at the four terminals of the thermal device model<br />

directly reflects the temperature within the particular transistor<br />

junctions. The drain pad node between device and PCB model reflects<br />

the average temperature of the drain terminal, which is equal to that of<br />

the PCB land to which the drain terminal is mechanically and<br />

electrically connected (ref. Fig. 11). Thermal cross-coupling between<br />

the channels is implicitly considered within the thermal device model.<br />

The ambient temperature is set to 85°C by means of a voltage source,<br />

which is connected to the thermal PCB model (ref. Fig. 11).<br />

5. Thermo-Electric System Simulation<br />

The proposed model generation path and electro-thermal system<br />

simulation are demonstrated for one of Freescale’s new High-Side<br />

Switch devices with four independent channels. The device is<br />

packaged in the thermally well performing PQFN (Power Quad Flat<br />

No Lead) package. The corresponding thermal model contains two<br />

distinct submodels: one for the 4-Channel High Side switch and one<br />

for the associated printed circuit board (PCB). The device model<br />

provides the independent thermal ports, each of them representing the<br />

junction of one channel switch. Device model and PCB model share<br />

one common node, the voltage of which represents the temperature of<br />

the connection point between the device’s drain terminal and the<br />

subjacent PCB land (see the drain pad in Fig. 9 and 10). The PCB<br />

model also represents the heat convection of the system into the<br />

ambient air.<br />

drain pad<br />

Fig. 10: Printed circuit board (here thermal test board 2s2p)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 126<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

thermal<br />

PCB model<br />

ambient<br />

temperature<br />

electrical<br />

device and<br />

bulb<br />

models<br />

thermal<br />

device model<br />

Fig. 11: SPICE circuit, with electrical equivalent circuit of the PCB and the 4 channel eXtreme switch device<br />

Fig. 12: Temperature response for use case 1, high side switch HS2 ON<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 127<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 13: Temperature response for use case 2, high side switches HS2 and HS1 sequentially switched ON<br />

Fig. 14: Temperature response for use case 2 with the thermally enhanced printed circuit board<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 128<br />

ISBN: 978-2-35500-010-2


For the first use case, only the upper high side switch HS2 was<br />

switched on for 100ms. The inrush current peak only lasts a few<br />

milliseconds but reaches almost 70 Amps. Newt, the current<br />

exponentially decays to the nominal current load of 4 Amps. Figure 12<br />

shows the resulting temperature response in transistor junctions and<br />

drain pad. It can be observed that channel HS2 (the only channel that<br />

carries current in this example) heats up to about 127°C. The other<br />

channels heat up to about 105°C due to cross-coupling (they do not<br />

dissipate any power themselves). Channel HS0, in closest proximity to<br />

HS2, heats up somewhat more than HS3 and HS1, as can be expected.<br />

In a second use case the simulation has been repeated with an<br />

additional inner switch HS1 being put ON 10 milliseconds later than<br />

exterior switch HS2. It can be seen that first, the junction temperature<br />

of HS2 starts increasing as before. Next, after having started to<br />

decrease, it suddenly increases to a second maximum, due to crosscoupling<br />

induced by the power dissipation occurring in the adjacent<br />

switch HS1. The thermal cross coupling causes high side switch HS2<br />

to heat up to 132°C. The temperature of high side switch HS1 reaches<br />

an even higher value of up to 141°C (ref. Fig. 13).<br />

A third simulation run is repeating use case two but on a thermally<br />

enhanced printed circuit board lower thermal resistance). This time the<br />

maximum temperature of switch HS2 is significantly reduced<br />

compared to the previous simulation (ref. Fig. 14).<br />

Freescale is able to supply SPICE models of several of its eXtreme<br />

switch devices for different PCB layouts. The combination of the<br />

component model with the PCB models is shown to be possible with<br />

the SPICE schematics editor. Thanks to this approach, system design<br />

with Freescale’s eXtreme Switch devices will become very flexible<br />

and quick. It will enable our customers to cost-optimize their PCB<br />

layout and module design work without having to perform long, costly<br />

and tedious FEM simulations.<br />

6. Summary<br />

We presented a new procedure for thermal modeling& simulation<br />

of SMART power ICs (High Side Switches based on MOSFET) on<br />

Printed Circuit Boards with different layouts. Key elements were the<br />

order reduction of finite element models, the extraction of equivalent<br />

thermal circuit models, the assembly and simulation of electro-thermal<br />

systems with the circuit simulator SPICE. The proposed approach has<br />

many advantages:<br />

• SPEED: A complete electro-thermal simulation with<br />

SPICE circuit simulator will take some tenth’s of<br />

seconds, whereas any finite element simulation of a<br />

comparable system would take several hours,<br />

• MODULARITY: Thanks to the separation of the<br />

thermal models of the device-package and that of the<br />

underlying PCB, the performance of several different<br />

PCBs may be evaluated within very short time. This<br />

allows a designer to fine-tune his design very quickly,<br />

• FUNCTIONALITY: Coupling of electrical and thermal<br />

field becomes straight forward. Phenomena, such as the<br />

influence of an increased supply voltage on the power<br />

dissipation, may be evaluated by a simple SPICE<br />

simulation.<br />

7-9 October 2009, Leuven, Belgium<br />

REFERENCES<br />

[1] J. T. Hsu, L. Vu-Quoc: “A Rational Formulation of Thermal<br />

Circuit Models for Electro-thermal Simulation - Part I: Finite<br />

element Method”, IEEE Transactions on Circuits and Systems,<br />

43(9), pp. 721-732, (1996)<br />

[2] M.N. Sabry: “Dynamic compact thermal models used for<br />

electronic design: a review of recent progress”, Proc. IPACK03,<br />

pp. 1–17<br />

[3] T. Bechtold, E. B. Rudnyi and J. G. Korvink: “Dynamic Electro-<br />

Thermal Simulations of Microsystems - A Review”, Journal of<br />

Micromechanics and Microengineering, Institute of Physics<br />

publications, 15 (2005), R17-R31<br />

[4] A. Augustin, T. Hauck: “A New Approach to Boundary<br />

Condition Independent Compact Dynamic Thermal Models”,<br />

Twenty Third Annual IEEE Semiconductor Thermal<br />

Measurement and Management Symposium, SEMI-THERM<br />

2007<br />

[5] E. B. Rudnyi and J. G. Korvink: “Model Order Reduction for<br />

Large Scale Engineering Models Developed in ANSYS”,<br />

Lecture Notes in Computer Science, v. 3732, pp. 349-356, 2006<br />

[6] L. Codecasa, D. D’Amore, P. Maffezzoni: “An Arnoldi Based<br />

Thermal Network Reduction Method for Electro-Thermal<br />

Analysis”, IEEE Transactions on Components and Packaging<br />

Technologies, Vol. 26, 2003, N 1, pp. 186-192<br />

[7] T. Bechtold, E. B. Rudnyi and J. G. Korvink: “Error Indicators<br />

for Fully Automatic Extraction of Heat-transfer Macromodels<br />

for MEMS”, Journal of Micromechanics and Microengineering<br />

2005, v. 15, N 3, pp. 430-440<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 129<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Pixel-by-Pixel Calibration of a CCD Camera Based<br />

Thermoreflectance Thermography System with<br />

Nanometer Resolution<br />

Mihai G. BURZO, Pavel L. KOMAROV and Peter E. RAAD*,<br />

IEEE Conference <strong>Publishing</strong><br />

Southern Methodist University and TMX Scientific,<br />

5232 Tennyson Pkwy, Bldg. 2, Plano, TX 75024, U.S.A.<br />

Abstract- This work presents for the first time a method for<br />

calibrating pixel-by-pixel and in-situ a CCD camera-based<br />

thermoreflectance thermography system with nanometer<br />

spatial resolution. Using the thermoreflectance method to<br />

determine the temperature map of an activated device requires<br />

two steps: first, the thermal image is acquired using a CCD<br />

camera or laser-diode set-up and, second, the obtained thermal<br />

image is converted to the actual temperature map by<br />

multiplying each pixel of the thermal image with the<br />

corresponding thermoreflectance coefficient. The critical aspect<br />

is that even if the thermoreflectance coefficient is known, or<br />

measured independently for each surface material, converting<br />

the thermal image to the temperature map requires building<br />

manually the exact corresponding map of the<br />

thermoreflectance coefficient, which sometimes can be difficult.<br />

In addition, the thermoreflectance coefficient is highly<br />

dependent on the wavelength of the probing light, numerical<br />

aperture, focus level, light uniformity, and other measurement<br />

effects. To mitigate these issues, one must obtain the<br />

thermoreflectance coefficient map of the same measurement<br />

area of interest, while ensuring that the same objective lens is<br />

used, the same focus level is maintained, and the same exact<br />

position is kept for frame acquisition at both the low and high<br />

temperature settings. To satisfy all of these requirements, the<br />

position of the sample must be adjusted in 3D space with<br />

nanometer spatial resolution.<br />

I. INTRODUCTION<br />

Thermal management of microelectronic microstructures<br />

is becoming more and more crucial with the progress to<br />

nanotechnology, increase in element power density and,<br />

circuits being packaged closer and closer together. For large<br />

devices and board level scales (few micrometers and above)<br />

the technology today is at the point where anyone in need of<br />

measuring the thermal behavior of electronic devices can<br />

choose from an array of both scientific and commercial<br />

measuring systems with each apparatus being best for certain<br />

applications with most working well for most application.<br />

Nonetheless when dealing with submicron and nanometer<br />

scale devices the choice of thermal measurement systems is<br />

limited with most, if not all systems, being still in R&D or<br />

scientific/lab prototype phase at best. Among the available<br />

technologies the thermoreflectance thermography method is<br />

so far one of the methods that have been successfully<br />

employed to make submicron temperature mappings [1-3]<br />

but still has its limitations. The thermoreflectance method<br />

has important advantages over contact and other optical<br />

methods since it is non-contact and non-destructive, costeffective,<br />

can produce both steady-state and transient surface<br />

temperature, provides accurate results with excellent<br />

submicron spatial and thermal resolutions.<br />

Thermoreflectance microscopy is based on the physical<br />

principle that a change in the temperature of a given surface<br />

causes a small change in that surface reflectivity. To<br />

measure the temperature change, ΔT, one needs to measure<br />

the relative change in the reflectivity of the sample, ΔR/R,<br />

and the small thermoreflectance calibration coefficient, C TR .<br />

The thermoreflectance coefficient defines the rate of change<br />

in the surface reflectivity as a function of the change in<br />

surface temperature. The C TR coefficient is strongly<br />

dependent on the material under test, the wavelength of the<br />

probing laser [4, 5], and the composition of the sample [5].<br />

For example, in the case of gold [6] the value of the C TR<br />

coefficient changes from positive to zero to negative values<br />

only by changing the wavelength from 400 to 600nm.<br />

When using a CCD camera bases thermoreflectance<br />

thermography system for thermal mapping of an active<br />

electronic device, the investigator ends up with one 2D<br />

surface map for the relative change in the reflectivity of the<br />

sample, ΔR/R, and another 2D map of the C TR coefficient.<br />

Combining the two maps yield the temperature map of the<br />

device and at a quick glance it looks as a simple algebraic<br />

task, i.e., dividing each pixel value of the ΔR/R map with the<br />

corresponding pixel on the C TR map. Nevertheless, because<br />

during the calibration procedure the sample itself and the<br />

sample holder assembly is subject to thermal expansion that<br />

causes movement in the microns to tenths of microns range,<br />

and because the position of the sample during the<br />

calibrations and the ΔR/R data acquisition needs to be<br />

precisely the same, the procedure of combing the ΔR/R and<br />

C TR maps becomes a not so trivial task.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 130<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 1 Thermoreflectance thermography system<br />

The goal of this work is to present a thorough procedure<br />

for pixel-by-pixel calibration of a CCD based<br />

thermoreflectance thermography system, i.e., successfully<br />

acquiring and combing the ΔR/R and CTR maps to obtain<br />

the thermal map of the activated device. A brief introduction<br />

to the measurement methodology is presented, followed by<br />

the new calibration system and advantages of using the<br />

pixel-by-pixel calibration. The power of the method is<br />

demonstrated for a microelectronic CMOS technology<br />

device supplied by TIMA and manufactured by Austria<br />

Microsystems. The data are checked against the results of a<br />

verified and validated numerical simulation. The temperature<br />

data obtained using a built in diode are also discussed.<br />

II. METHODOLOGY<br />

The temperature measurements using the<br />

thermoreflectance thermography system are carried out in<br />

several stages. First, the thermal image (change in<br />

reflectivity) of a device is acquired. In this step the changes<br />

in the surface reflectivity ΔR/R as a function of the changes<br />

in temperature are measured at each point of interest with<br />

submicron spatial resolution. Second, the calibration map is<br />

obtained using the procedure that will be described in the<br />

next paragraph. In this step, the thermoreflectance<br />

coefficient, C TR , is determined at each point on the surface of<br />

the DUT. Finally, in the third step, the resulting C TR and<br />

ΔR/R maps are combined to obtain the surface temperature<br />

map of the DUT. Details about each step are provided next.<br />

The schematic of the TRTG is shown in Fig. 1. The<br />

system is capable of acquiring temperature fields with a<br />

512×512 point frame resolution, at 10 to 30 frames per<br />

second, and with up to 0.2 µm spatial resolution. In the first<br />

stage (mapping) the DUT is activated (pulse modulated),<br />

then the change in the reflectance ΔR/R of the DUT is<br />

captured as a change in the intensity of the reflected light on<br />

each element (pixel) of the CCD camera and the captured<br />

image is acquired and processed using the NI Labview on<br />

a PC. In the second stage (calibration), the reflectivity map<br />

(intensity of the reflected light) of the device is acquired at a<br />

low temperature (T L ) as I L and then at a high temperature<br />

(T H ) as I H . The thermoreflectance coefficient is then<br />

computed for each pixel as,<br />

C TR = [(I H – I L ) / I L ] / (T H –T L ) (1)<br />

Finally the temperature map of each pixel is computed as,<br />

ΔT = (ΔR / R) / C TR (2)<br />

For the pixel-by-pixel calibration method to work<br />

properly, it is essential that both the focus and the horizontal<br />

position of the sample be maintained during the entire<br />

process of acquiring the three sets of images needed for the<br />

final temperature map: (i) thermal image, (ii) low<br />

temperature image (calibration), and (iii) high temperature<br />

image (calibration). The thermal expansion present during<br />

the calibration stage of the measurement causes not only z-<br />

axis (out of focus) movement but also horizontal movement<br />

of the sample.<br />

One way of controlling the z-axis movement is to reduce<br />

the movement of the top surface of the sample by<br />

constructing a heating stage/sample holder system where the<br />

thermal expansion is directed away from the top area of the<br />

device, such as the one presented by Vairac et al. at<br />

Therminic 2005 [7]. However, since the system is a passive<br />

system there are still going to be uncontrolled differences in<br />

the elevation of the sample due to thermal expansion. Vairac<br />

et al. reported a 50 nm/K movement due to the thermal<br />

expansion while using an innovative way of controlling the<br />

thermal expansion. For the typical values of temperature<br />

difference, ΔT, of 30-50K generally used for the<br />

thermoreflectance calibration process, thermal expansion<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 131<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

results in 1.5 to 2.5 microns of movement of the top surface<br />

of the sample. However, our sensitivity analysis<br />

measurements show that the movement of the sample needs<br />

to be limited to within a few tenths of nanometers for the<br />

entire range of ΔT during the overall measurement process.<br />

For the typical ΔT values of between 30 and 50K, this<br />

translates to a movement of a few nanometers per K. The<br />

authors believe that this level of movement control can only<br />

be achieved by an active control system which must contain<br />

nanometer level movement capabilities such as in high-end<br />

piezo stages. The advantage of using an XYZ piezo stage is<br />

that it allows the system to compensate for any movement in<br />

the horizontal plane as well. It turns out that the movement<br />

in the horizontal plane has to be controlled with deep subpixel<br />

resolution (for 100X, the pixel size is 210 nm), which<br />

translates to resolutions less than 100 nm with 10 nm or<br />

better preferred.<br />

In order to achieve the necessary level of thermal and<br />

positioning control, a special module was constructed,<br />

shown as a simplified schematic in Fig. 1. The heating stage<br />

contains a thermoelectric element that heats up and cools<br />

down the DUT; several temperature sensors – thermistors,<br />

for control and overheating protection; a heat sink for<br />

removal of the heat produced by the thermoelectric element;<br />

a highly precise piezoelectric XYZ translation stage with<br />

built-in thermally compensated position measurement;<br />

vacuum lines, etc. Both the cooling and heating cycles were<br />

significantly sped-up by the use of an intelligent PID<br />

(Proportional-Integral-Derivative) control scheme to obtain<br />

the smallest possible heating/cooling cycle times. A<br />

Proportion-Integral scheme was also used to control the 3D<br />

movement of the piezo-stage.<br />

II.<br />

RESULTS<br />

A. Calibration Approaches<br />

As mentioned above, in order to obtain the actual<br />

temperature of the device the change in the reflectivity,<br />

ΔR/R, needs to be divided by the thermoreflectance<br />

coefficient. When only one surface material is involved and<br />

only its temperature map is required this is a trivial algebraic<br />

procedure. However, when investigating complex<br />

microelectronic devices, that is rarely the case and typically<br />

several materials need to be calibrated and factored in for the<br />

final temperature map. To make matters even more<br />

complicated, the thermoreflectance coefficient turns out to<br />

be highly dependent not only on the material type but also on<br />

the wavelength of the probing light (as depicted in Fig. 2)<br />

and event the numerical aperture of the objective lenses,<br />

focus level, light uniformity, and other measurement effects.<br />

The C TR dependence on the wavelength of the light can be<br />

utilized to the users’ advantage by building the system with a<br />

variable wavelength light source and tuning the wavelength<br />

to an optimal wavelength value that will offer the maximum<br />

C TR value for a specific material. To exemplify, the<br />

thermoreflectance coefficient of the polysilicon region of the<br />

device shown in the top part of Fig. 3, which is embedded in<br />

a transparent layer composed of several microns of field<br />

oxide and passivation layers, was measured at various<br />

wavelengths of the illumination light and the obtained data<br />

Fig. 2 Thermoreflectance coefficient of oxide covered polysilicon<br />

and gold versus the wavelength of probing light<br />

are plotted in Fig. 2. The thermoreflectance coefficient of<br />

Au is also plotted in Fig. 2. Since the value of the<br />

thermoreflectance coefficient is close to zero at 500 nm for<br />

both materials, obviously tuning the wavelength to a value in<br />

the vicinity of this value will produce either no results at all<br />

or extremely poor results. However, if one tunes the light<br />

wavelength to a value of 485 nm for gold or either 605 nm or<br />

640 nm for polysilicon, the C TR value becomes optimal and<br />

thus the thermal map would have the highest accuracy.<br />

In obtaining the final temperature map, i.e., while<br />

combining the change in the reflectivity, ΔR/R, with the<br />

thermoreflectance coefficient, C TR , the user has three<br />

calibration approaches:<br />

i) Whole-area calibration: If only one material is<br />

considered, the ΔT map is obtained by dividing the ΔR/R<br />

value of each pixel with the (fixed) C TR value of the<br />

measured material.<br />

ii) Zone-by-zone calibration: When multiple materials<br />

are considered, a 2D map of the zones of different materials<br />

can be built manually with the thermoreflectance coefficient<br />

being determined separately for each zone. The temperature<br />

map is obtained by combining the ΔR/R map with the final<br />

C TR map.<br />

iii) Pixel-by-pixel calibration: In the case of complex<br />

devices using either one of the previous approaches could be<br />

cumbersome and thus the pixel-by-pixel approach might be<br />

more feasible. In this approach the both the calibration and<br />

the change in the reflectivity data is obtained for each pixel<br />

and the temperature map is obtained by combining the CTR<br />

value with the ΔR/R value of the corresponding pixel on the<br />

ΔR/R map.<br />

The pixel-by-pixel calibration method is clearly preferred<br />

to the other mentioned methods due to its automated nature<br />

and being applicable to deal with any level of device<br />

complexity. However there are instances for which there is<br />

no single wavelength that will offer good C TR values for all<br />

the measured materials and thus the zone-by-zone approach<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 132<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 4 Thermoreflectance thermography results for a polysilicon<br />

resistor: left – calibration map, C TR x 10 -4 (1/K) at 460 nm<br />

wavelength of probing light; right – contours<br />

of temperature change, ΔT, °C<br />

Fig. 3 Thermoreflectance thermography results for a polysilicon<br />

microresistor: top – image of the DUT (light area is C-shaped poly<br />

resistor; bottom – 2D map of the relative change in reflectivity,<br />

ΔR/R × 10 4 , induced by the change in temperature ΔT, at 460nm<br />

wavelength of probing light<br />

could be more appropriate. In Fig. 2, the maximum value of<br />

the C TR is obtained at 485 nm for gold and 640 nm for<br />

polysilicon. However, in the case of the device containing<br />

gold and oxide covered polysilicon, as evident from Fig. 2,<br />

there is a large spectrum of wavelengths that will offer very<br />

good C TR values for both materials, one of such wavelengths<br />

being 485 nm. It is also worth mentioning that if one desires<br />

to obtain the absolute best measurement uncertainties the<br />

better way to proceed is to use the zone-by-zone calibration<br />

and measure and calibrate twice at 485 nm (for best Au<br />

region results) and 640 nm (for best polysilicon results) and<br />

then combine the results to obtain the temperature change for<br />

the device under test.<br />

B. Temperature Results for a Representative Device<br />

The temperature map of a polysilicon microresistor,<br />

shown in the top part of Fig. 3, was measured and calibrated<br />

and the results are presented here. The temperature was<br />

probed through a layer of both field oxide and passivation<br />

layer with a probing light wavelength of 460 nm. The value<br />

of 460 nm was chosen because it produces good results for<br />

all of the probed regions of the device.<br />

The device was pulse modulated and a differential scheme<br />

was used to obtain the map of relative change in reflectivity<br />

of the device, ΔR/R. The ΔR/R results are presented on the<br />

bottom part of Fig. 3. The electrical power applied to the<br />

device was 250 mW, and 1,000 frames were averaged at a<br />

mean frame rate of 10 frames/sec. As evident from Fig. 3<br />

the value of the C TR coefficient is negative for the poly<br />

region and positive for the rest of the materials. In other<br />

words the device temperature increase caused by the Joule<br />

heating produces a decrease in the reflectivity of the poly<br />

region and an increase in reflectivity for the rest of the<br />

regions.<br />

Next, the calibration map of the device was obtained by<br />

measuring the change in the reflectivity of the device at the<br />

low temperature (T L = 30°C) and at the high temperature (T H<br />

= 50°C) and then the C TR coefficient was computed using (1)<br />

and the results are presented on the left side of Fig. 4. As<br />

expected based on the results shown in Fig. 3 the<br />

thermoreflectance coefficient of the poly is negative (-1.15 ×<br />

10 -4 K -1 ) while its value is positive for the region containing<br />

the diode leads with a value of 1.46 × 10 -4 K -1 .<br />

Finally, the temperature map is obtained based on (2)<br />

using the ΔR/R map (shown in the bottom side of Fig. 3) and<br />

the thermoreflectance coefficient (shown in the left side of<br />

Fig. 4) and the temperature change results are shown in the<br />

right side of Fig. 4. The results indicate that the corners of<br />

the poly-resistor are slightly cooler than the rest of the poly.<br />

That is probably caused not only by the way that the device<br />

cools but also the path that the current takes through the<br />

resistor. The data shown in Fig. 4 also shows that the area<br />

found at the center of the device, occupied by the diode,<br />

appears to be much cooler than the microresistor area. More<br />

on this aspect will be presented in the next section.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 133<br />

ISBN: 978-2-35500-010-2


Fig. 5 Computed absolute temperature contours, T (°C), at the<br />

surface level of the polysilicon resistor for P = 250 mW<br />

activation power. Maximum computed temperature is 69.8°C<br />

(the reference temperature was 20 °C and the shown area is a<br />

54 by 54 μm square portion of the domain)<br />

C. Validation of temperature results: numerical simulation<br />

results<br />

The experimental results obtained here were validated<br />

using the authors’ ultra-fast self-adaptive numerical<br />

simulation engine [8,9] A model was built based on the<br />

device design data from Austria Microsystems and computed<br />

using our solver with the results presented in Fig. 5, for an<br />

activation power of 250 mW. The power was considered to<br />

be uniform and covers the entire area of the polysilicon<br />

resistor. The temperature presented in Fig. 5 is the absolute<br />

temperature in °C; thus, to obtain the temperature change,<br />

the reference temperature of 20°C should be subtracted from<br />

this value. The computed maximum temperature difference<br />

of 69.8°C agrees well, within 5%, with the experimentally<br />

measured temperature plotted in Fig. 4.<br />

D. Validation of temperature results: built-in diode results<br />

In addition to comparing the experimental results to the<br />

results of the numerical simulation, the results are also<br />

Fig. 6 Temperature measured using the built-in diode versus<br />

applied electrical power to the polysilicon resistor.<br />

7-9 October 2009, Leuven, Belgium<br />

checked against temperature readings obtained from an<br />

embedded temperature sensor. A diode was used to measure<br />

the temperature which is located in the center region of the<br />

C-shaped microresistor.<br />

First, the diode was calibrated using our thermoelectric<br />

element based stage by measuring the change in the voltage<br />

value with the change in the base temperature while the<br />

current was kept constant at 1 μA. It was found that the<br />

voltage decreases linearly with the temperature at a rate of<br />

2.55 mV/°C for the range of temperature considered here.<br />

After calibrating the diode, the polysilicon resistor was<br />

activated at various power levels and the voltage of the diode<br />

was recorded while again keeping the diode current constant<br />

at 1 μA. The obtained data is plotted in Fig. 6 and shows<br />

that the diode voltage is linearly proportional to the applied<br />

microresistor power. For the 250 mW of applied power, the<br />

diode reads a temperature gradient of 23.5°C which grossly<br />

underestimates the computed 69.8°C maximum temperature<br />

obtained for the polysilicon resistor. Nevertheless, by<br />

investigating both the experimental results shown in Fig. 4<br />

and the numerical results presented in Fig. 5 one might find<br />

out that indeed the temperature at the center location of the<br />

poly resistor is expected to be much lower than the<br />

maximum temperature observed on the surface of the<br />

resistor itself. Therefore, we must conclude that the<br />

embedded diode sensor may not be as useful as initially<br />

thought at determining the average temperature of the poly<br />

resistor.<br />

III. CONCLUSIONS<br />

This work presented for the first time a successful method<br />

for calibrating pixel-by-pixel and in-situ a CCD camerabased<br />

thermoreflectance thermography system with<br />

nanometer spatial resolution. This article described the<br />

measurement system and methodology used and presents<br />

relevant results. Using the thermoreflectance method to<br />

determine the temperature map of an activated device<br />

requires two steps: first, the thermal image is acquired using<br />

a CCD camera and, second, the obtained thermal image is<br />

converted to the actual temperature map by multiplying each<br />

pixel of the thermal image with the corresponding<br />

thermoreflectance coefficient. The critical aspect is that even<br />

if the thermoreflectance coefficient is known, or measured<br />

independently for each material present on the surface of the<br />

sample, converting the thermal image to the temperature<br />

map requires building manually the exact corresponding map<br />

of the thermoreflectance coefficient, which in the case of<br />

complex microelectronic devices might be difficult. In<br />

addition, it turns out that the thermoreflectance coefficient is<br />

highly dependent on the wavelength of the probing light,<br />

numerical aperture, focus level, light uniformity, and other<br />

measurement effects. To mitigate these issues, one must<br />

obtain the thermoreflectance coefficient map of the same<br />

measurement area of interest, while ensuring that the same<br />

objective lens is used, the same focus level is maintained,<br />

and the same exact position is kept for frame acquisition at<br />

both the low and high temperature settings. To satisfy all of<br />

these requirements, the position of the sample must be<br />

adjusted in 3D space with nanometer spatial resolution.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 134<br />

ISBN: 978-2-35500-010-2


A representative device was measured and the temperature<br />

contours were presented. A computational tool as well as an<br />

embedded diode was used to validate the results. While the<br />

results of the numerical simulation validate the experimental<br />

results, the diode readings were significantly off. After a<br />

brief numerical investigation it was found that indeed the<br />

location and construction of the diode sensor were not ideal,<br />

and thus the embedded device could not be used in this case<br />

to successfully validate the experimental data.<br />

REFERENCES<br />

[1] W. Claeys, D. Dilhaire, V. Quintard, J.P. Dom, and Y. Danto,<br />

“Thermoreflectance Optical Test Probe for the Measurement of<br />

Current-Induced Temperature Changes in Microelectronic<br />

Components,” Reliability Engineering International, Vol. 9, pp.<br />

303-308, 1993.<br />

[2] Z. Bian, J. Christofferson, A. Shakouri, and P. Kozodoy, “High-<br />

Power Operation of Electroabsorption Modulators”, Applied<br />

Physics Letters - Vol. 83, No.17, pp. 3605-3607, 2003.<br />

[3] P. L. Komarov, M. G. Burzo, and P. E. Raad, “A Thermoreflectance<br />

Thermography System for Measuring the Transient Surface<br />

Temperature Field of Activated Electronic Device,” Proceedings to<br />

the 22nd Semiconductor Thermal Measurement, Modeling, and<br />

Management Symposium (SEMITHERM), Dallas, Texas, March<br />

14-16, 2006.<br />

7-9 October 2009, Leuven, Belgium<br />

[4] M. G. Burzo, P. L. Komarov, and P. E. Raad, “Thermal Transport<br />

Properties of Gold-Covered Thin-Film Silicon Dioxide”, IEEE<br />

Transactions on Components and Packaging Technologies, Vol.<br />

26(1), pp. 80-88, 2003.<br />

[5] G. L. Eesley, “Surface Raman Ellipsometry,” J. of Quantum<br />

Electronics, Vol. 17, No. 7, p. 1285, 1981.<br />

[6] P. E. Raad, P. L. Komarov, and M. G. Burzo, ”Thermal<br />

characterization of embedded electronic features by an integrated<br />

system of CCD thermography and self-adaptive numerical<br />

modeling,” Microelectronics Journal, Vol. 39, Issue 7, pp. 1008-<br />

1015, 2008.<br />

[7] P. Vairac, B. Cretin, B., M. Genix, B. Charlot, S. Dilhaire, S.<br />

Gomès, G. Tessier, N. Trannoy, and S. Volz, , “Ultra-local<br />

temperature mapping with an intrinsic thermocouple,” 11th<br />

International Workshop on Thermal Investigations of ICs and<br />

Systems (THERMINIC), Belgirate, Lake Maggiore, Italy, 27 - 30<br />

September 2005<br />

[8] P. E. Raad, J. S. Wilson, and D. C. Price, “System and Method for<br />

Predicting the Behavior of a Component,” U.S. Patent No.<br />

6,064,810 (2000), Korean Patent No. 0501053 (2005), Japanese<br />

Patent No. 3,841,833 (2006).<br />

[9] J. S. Wilson and P. E. Raad, “A Transient Self-Adaptive Technique<br />

for Modeling Thermal Problems with Large Variations in Physical<br />

Scales,” International Journal of Heat and Mass Transfer, Vol. 47,<br />

pp. 3707-3720, 2004.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 135<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Practical Study of Temperature Distribution<br />

in a Thermal Test Integrated Circuit<br />

M. Janicki, M. Szermer, S. Klab, Z. Kulesza, A. Napieralski<br />

Department of Microelectronics and Computer Science, Technical University of Lodz<br />

Wolczanska 221/223, 90-924 Lodz, Poland<br />

Abstract-This paper presents measurements and simulations<br />

of a test integrated circuit. The circuit contains a matrix of heat<br />

sources and a set of temperature sensors, what renders possible<br />

measurement of circuit temperature in real cooling conditions<br />

inside a closed package. The measurements are validated for<br />

different patterns of power dissipation and cooling conditions<br />

with numerical simulations. Based on the presented results, the<br />

influence of circuit topology on temperature distribution and its<br />

impact on the possibility of heat source temperature estimation<br />

are discussed.<br />

I. INTRODUCTION<br />

Due to high power densities dissipated in state-of-the-art<br />

integrated circuits, there appeared the need for continuous<br />

monitoring of circuit temperature. Because of significant<br />

temperature gradients occurring in such circuits, it is not<br />

enough to use a single temperature sensor and some more<br />

sophisticated solutions should be developed for real time<br />

temperature monitoring. Such monitoring circuits, integrated<br />

together with the monitored ones within a single IC, would<br />

not only protect circuits from overheat but also could provide<br />

useful feedback for design engineers.<br />

The concept of real time circuit temperature monitoring<br />

for power circuits is known already from the early nineties.<br />

However, recently an entirely new possible application has<br />

emerged for such monitoring systems in the field of chip<br />

multiprocessor design [1]-[3]. With the advent of multicore<br />

architectures, the exploration of the entire design space has<br />

become a task of extreme importance from the performance<br />

point of view. Knowing the exact temperature distribution<br />

within an operating chip, engineers could design much more<br />

efficient architectures and multithread algorithms as well<br />

as develop new strategies for active voltage and frequency<br />

scaling so as to maximize performance while maintaining<br />

chip temperature within allowed safety margins.<br />

This paper, based on a practical example of a thermal test<br />

circuit, examines the influence of power dissipation pattern<br />

and circuit cooling conditions on temperature distribution.<br />

The following section is devoted to the description of the test<br />

circuit. Then, thermal simulation results are analyzed and<br />

compared with measurements. Next, important conclusions<br />

regarding the possibility of realizing real time temperature<br />

monitoring circuit are provided.<br />

Fig. 1. Thermal test chip photograph.<br />

II. TEST CIRCUIT DESCRIPTION<br />

The test circuit was designed entirely in the Department<br />

of Microelectronics and Computer Science of the Technical<br />

University of Lodz and manufactured in the 0.35 micron high<br />

voltage technology by austriamicrosystems ® . The general<br />

photograph of the chip is shown in Fig. 1. The die dimensions<br />

are 4.83 mm x 4.11 mm. In this paper, the presentation of the<br />

circuit is limited only to the description of the components,<br />

which are the most important from the temperature profile<br />

investigation point of view, that is the heat sources and the<br />

temperature sensors. For a more complete description of the<br />

design, refer to [4]. Additionally, it should be mentioned that<br />

this circuit does not fulfil all the JEDEC requirements for<br />

a standard thermal test chip, unlike those described in [5]-[6],<br />

because it was developed also for other purposes.<br />

A. Heat Sources<br />

The 620 μm x 40 μm heat sources, visible in the above<br />

photograph, form a 3 x 3 matrix and consist of compound<br />

high voltage 50 V NMOS transistors. These sources operate<br />

for power supply voltages 20÷50 V and can be individually<br />

switched on or off at any of 7 current levels evenly spread<br />

up to 21 mA. Owing to this solution, it was possible to attain<br />

high flexibility of power dissipation inside the chip.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 136<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

B. Temperature Sensors<br />

The temperature of the entire chip can be monitored with<br />

25 sensors organized in a 5 x 5 matrix. The sensors, marked<br />

in Fig. 1 by the white circles, are placed in the middle of all<br />

the heat sources and on the outer limits of the heat source<br />

matrix in each row and column as well as in the four corners.<br />

These sensors consist of 3 in-series connected base-emitter<br />

junctions of BJTs available in the chosen technology. The<br />

sensor output signal is the voltage drop across two junctions.<br />

The sensor signals can be directly accessed outside the chip<br />

in the analog form through the appropriate pins or, after the<br />

A/D conversion, they can be stored in the on-chip RAM.<br />

III. TEMPERATURE MEASUREMENTS<br />

The circuit under investigation, placed in a 120-pin CQFP<br />

surface mount package, was soldered to the standard JEDEC<br />

high thermal conductivity board with a square opening made<br />

inside the pin perimeter, so as to render possible temperature<br />

measurements in the Dual Cold Plate (DCP) arrangements<br />

proposed within the PROFIT project [7].<br />

A. Sensor Calibration<br />

Before the measurements, all the sensors were calibrated<br />

using the DCP-1 configuration, i.e. with the package tightly<br />

squeezed between two aluminum spacers and some thermal<br />

grease applied. The temperature was measured at the analog<br />

sensor outputs and additionally, for control purposes, by thin<br />

wire thermocouples mounted inside the copper spacers. The<br />

calibration results are presented in Fig. 2. The markers show<br />

the dispersion between the individual diodes, whereas the<br />

solid line is the average sensor characteristic which is almost<br />

perfectly linear with the negative slope of 2.7 mV/K.<br />

B. Measurement Setup<br />

The measurements were carried out in 2 configurations.<br />

First, the DCP-2 was used where the top metal spacer was<br />

replaced by the polyamide one. Then, when investigating the<br />

influence of cooling conditions, the circuit was measured<br />

without the cold plates inside the standard still air chamber.<br />

Voltage (V)<br />

1.75<br />

1.73<br />

1.71<br />

1.69<br />

1.67<br />

1.65<br />

1.63<br />

1.61<br />

1.59<br />

1.57<br />

1.55<br />

1.53<br />

20 30 40 50 60 70 80 90 100<br />

Temperature (˚C)<br />

Fig. 2. Measured sensor calibration curves.<br />

Fig. 3. Modeled structure geometry.<br />

The measurements were performed maintaining the same<br />

amount of dissipated power, i.e. 0.9 W, but its distribution<br />

among the heat sources varied. The obtained results were<br />

used to provide the data necessary to create the detailed<br />

system thermal model presented in the next section. In all the<br />

cases the temperature differences between the experiment<br />

and the simulation across the entire structure did not exceed<br />

0.5 K, which is within the actual temperature measurement<br />

accuracy.<br />

IV. NUMERICAL SIMULATIONS<br />

Knowing the structure geometry and the material thermal<br />

data, an adequate thermal model of the system was created.<br />

The drawing of the modeled structure is presented in Fig. 3.<br />

All the dimensions given in the figure are in millimeters. The<br />

technical details of the package are available on the Kyocera<br />

Corporate or the Europractice websites.<br />

The solution of heat equation resulting from the thermal<br />

model of the structure was computed using the Tulsoft finite<br />

difference solver [8]. First, the 28,000 node non-uniform<br />

temperature gradient dependent mesh was created and then<br />

the temperature distribution in the entire structure was found.<br />

The total execution time on a medium class PC was less than<br />

15 seconds.<br />

A. Single Heat Source<br />

First, it was assumed in the experiments that all the power<br />

is dissipated in a single heat source and only its location was<br />

changed. The silicon die temperature rise maps obtained for<br />

the cases when the source was placed in the corner and in the<br />

middle are shown in Figs. 4-5.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 137<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 4. Temperature map for a single source located in the corner.<br />

B. Multiple Heat Sources<br />

Then, the same amount of power was distributed evenly<br />

among three corner sources and all the nine sources. Such<br />

a situation can is typical in multicore architectures where the<br />

computation is divided between the particular cores, which<br />

are surrounded by cache memories and buses. The obtained<br />

simulation results for these two cases are shown in Figs. 6-7.<br />

C. Cooling Conditions Influence<br />

Finally, simulations were carried out for the same three<br />

previously considered sources, but for the free convection<br />

cooling in the standard still air chamber without the cold<br />

plates. Obviously, this required the adjustment of the heat<br />

transfer coefficient value at the outer structure surfaces. The<br />

results of this simulation are shown in Fig. 8.<br />

V. RESULT DISCUSSION<br />

The result analyses are divided into two parts: the study of<br />

temperature distribution and the discussion on the possibility<br />

of practical realization of real time temperature monitoring.<br />

Moreover, in order to facilitate all the analyses, the table<br />

showing the extreme temperatures in the die is included.<br />

Fig. 5. Temperature map for a single source located in the middle.<br />

A. Temperature Distribution<br />

The obvious conclusion from the first experiment is that<br />

the location of a single source does not matter too much for<br />

the hot spot temperature. Obviously, the source is a bit hotter<br />

when it is placed close to a die corner and the temperature<br />

is slightly more uniform with the centrally placed source, but<br />

the differences are not really significant.<br />

Quite the opposite, the distribution of power dissipation<br />

among the power sources brings significant decrease of the<br />

hot spot temperature, already for only three sources. Further<br />

reduction of the maximal temperature can be attained with<br />

more sources, but the gain is not so important any more.<br />

Another interesting observation is that in all the considered<br />

cases, independently from the number of heat sources, the<br />

minimal chip temperature remains fairly unchanged.<br />

When the change of cooling conditions is concerned, one<br />

can clearly see that the hot spot temperature rise increases<br />

more than three times, though the temperature difference<br />

in the chip remains at around 4 K. Note that the map legends<br />

are different for all the figures and that they are global ones,<br />

i.e. their range is adjusted for the entire structure with the<br />

package not only for the silicon die itself.<br />

Fig. 6. Temperature map for 3 active sources.<br />

Fig. 7. Temperature map for all 9 sources active.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 138<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

TABLE I<br />

TEMPERATURE RISE DIFFERENCES WITHIN THE DIE<br />

Power dissipation pattern<br />

Max.<br />

(K)<br />

Min.<br />

(K)<br />

One corner source - water cooling 13.47 3.596<br />

One middle source - water cooling 12.98 4.048<br />

Three distributed sources - water cooling 7.748 3.758<br />

Nine distributed sources - water cooling 6.344 3.912<br />

Three distributed sources - free convection 25.73 21.75<br />

Fig. 8. Temperature map for 3 active sources with free convection cooling.<br />

B. Real Time Temperature Monitoring<br />

Both simulations and measurements confirmed the fact<br />

that with the forced water cooling the resulting temperature<br />

distribution profiles in the chip are much steeper than with<br />

the free convection cooling. To be more specific, assuming<br />

that the problem is linear, from the table it can be obtained<br />

that for the same hot spot temperature rise of 25.73 K, the<br />

coldest location in the die would have the temperature rise<br />

of only 12.5 K, whereas for free convection the measured<br />

one is 21.75 K.<br />

This observation has serious implication on the possibility<br />

of practical realization of real time temperature monitoring.<br />

For the forced water cooling, the main problem is the socalled<br />

temperature damping, i.e. the attenuation of thermal<br />

response. Because of this effect, the temperature rise, even<br />

very close to a source, might be already quite negligible and<br />

then sensors have to be placed as close as possible to each<br />

source in order to avoid excessive temperature estimation<br />

errors.<br />

On the other hand, for free convection cooling the whole<br />

die surface has quite uniform temperature and the difficulty<br />

during the estimation consists not in the estimation of actual<br />

source temperature but in the correct allocation of dissipated<br />

power among the sources. Similar conclusions were also<br />

drawn based on simulated data in [9], where the problem<br />

of real time source temperature estimation, even with remote<br />

sensor measurements, was discussed in detail.<br />

VI. CONCLUSIONS<br />

The presented numerical simulations confirmed by the p-n<br />

junction temperature measurements demonstrated that both<br />

the distribution of dissipated power and cooling conditions<br />

have important influence on the temperature profile inside<br />

semiconductor chips.<br />

The considerations presented in this paper are important<br />

not only in thermal optimization of power integrated circuits,<br />

but at the same time they can serve as a useful guide in the<br />

chip multiprocessor design, which is at the moment a very<br />

dynamically developing field of electronic engineering.<br />

ACKNOWLEDGMENT<br />

This research was supported by the grant of the Ministry<br />

of Science and Higher Education No. N515 008 31/0331.<br />

REFERENCES<br />

[1] K. Skadron, M Stan, K. Sankaranarayanan, W Huang, S. Velusamy,<br />

and D. Tarjan, “Temperature-aware microarchitecture: Modeling<br />

and implementation,” ACM T. Archit. Code Op., vol. 1, pp: 94-125,<br />

March 2004.<br />

[2] W. Liao, L. He, and K.M. Lepak, “Temperature and supply voltage<br />

aware performance & power modeling at microarchitecture level,”<br />

IEEE T. Comput. Aid. D., vol. 24, pp. 1042-1053, July 2005.<br />

[3] M. Monchiero, R. Canal, and A. Gonzalez, “Power/performance/<br />

thermal design-space exploration for multicore architectures,” IEEE<br />

T. Parall. Distr., vol. 19, 666-681, May 2008.<br />

[4] M. Szermer, Z. Kulesza, M. Janicki, and A. Napieralski, “Design<br />

of the test ASIC for on-line temperature monitoring and thermal<br />

structure analysis” [Proc. 15 th Intl Conf. Mixed Design of Integrated<br />

Circuits and Systems MIXDES, pp. 317-320, June 2008].<br />

[5] A. Poppe, G. Farkas, M. Rencz, Z. Benedek, L. Pohl, V. Szekely,<br />

K. Torki, S. Mir, and B. Courtois, “Design issues of a multifunctional<br />

intelligent thermal test die,” [Proc. 17 th Annual IEEE<br />

Symposium Semiconductor Thermal Measurement & Management<br />

Semi-Therm, pp. 50-57, March 2001].<br />

[6] B. Siegal, and Galloway, “Thermal test chip design & performance<br />

considerations,” [Proc. 24 th IEEE Symposium Semiconductor<br />

Thermal Measurement and Management Semi-Therm, pp. 59-62,<br />

March 2008].<br />

[7] H. Pape, D. Schweitzer, J.H.J. Janssen, A. Morelli, and C.M. Villa,<br />

“Thermal transient modeling and experimental validation in the<br />

European project PROFIT,” IEEE T. Compon. Pack. T., vol. 27,<br />

pp. 530-538, September 2004.<br />

[8] M. Kaminski, M. Janicki, and A. Napieralski, “Application of RC<br />

equivalent networks to modeling of nonlinear thermal phenomena,”<br />

[Proc. 14 th Intl. Conf. Mixed Design of Integrated Circuits and<br />

Systems MIXDES, pp. 357-362, June 2007].<br />

[9] M. Janicki, and A. Napieralski, “Real time temperature estimation<br />

of heat sources in integrated circuits with remote temperature<br />

sensors,” J. Phys. Conf. Ser., vol. 124, 012027, 2008.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 139<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

CMOS Temperature Sensors Based on<br />

Thermal Diffusion<br />

Caspar van Vroonhoven, Mahdi Kashmiri, Kofi Makinwa<br />

Electronic Instrumentation Laboratory<br />

Delft University of Technology<br />

Mekelweg 4 HB13.060<br />

2628CD Delft, the Netherlands<br />

c.p.l.vanvroonhoven@tudelft.nl<br />

Abstract- This work presents an overview of recent research<br />

into integrated temperature sensors based on thermal<br />

diffusivity sensing. Such sensors make use of the fact that the<br />

thermal diffusivity of highly pure IC-grade silicon has a welldefined<br />

temperature dependence and is insensitive to process<br />

spread. To measure thermal diffusivity, an on-chip thermal<br />

delay can be defined and then used to define the frequency of a<br />

VCO. Alternatively, this delay can be directly digitized. Several<br />

proof-of-concept devices fabricated in 0.7μm CMOS technology<br />

demonstrate good accuracy and reproducibility. They achieve<br />

untrimmed inaccuracies in the order of ±0.6ºC (3σ) over the<br />

military temperature range (-55ºC to 125ºC), based on the<br />

measured performance of 16 samples per batch.<br />

I. INTRODUCTION<br />

The thermal management of large ASICs such as<br />

microprocessors has become essential. This is because of the<br />

steadily increasing power dissipation and device density of<br />

modern CMOS chips. Effective thermal management<br />

requires that die temperature be accurately measured at<br />

several locations [1] by integrated temperature sensors.<br />

However, conventional integrated temperature sensors are<br />

rather inaccurate (2ºC – 5ºC). This is because they rely on<br />

the temperature-dependent behavior of transistors, which<br />

varies significantly, especially in nanometer CMOS<br />

processes. The inaccuracy of such sensors can be improved<br />

by individual or batch calibration [2, 3], but this requires a<br />

temperature-stabilized test infrastructure, which increases<br />

manufacturing time and costs. Ideally, the inaccuracy of<br />

sensors for thermal management applications should be low<br />

enough (< ±1ºC) to avoid the need for trimming.<br />

Die temperature can also be sensed by measuring the<br />

thermal diffusivity of bulk silicon, which has a temperature<br />

dependence that is approximately proportional to 1/T n (n ≈<br />

1.8) [5]. As already observed in [8], this is an attractive<br />

solution for thermal management applications, because the<br />

thermal diffusivity of IC-grade silicon is very well-defined,<br />

and thus can potentially be used to realize accurate<br />

temperature sensors.<br />

Temperature sensors based on thermal diffusivity sensing<br />

date back to the 1960’s and 70’s [6, 7], but their accuracy<br />

was limited. Also, the low signal amplitudes associated with<br />

thermal diffusivity sensing resulted in poor temperaturesensing<br />

resolution [8, 9], even when a large amount of heater<br />

power was dissipated (>10mW).<br />

Advances in CMOS technology and interface circuit<br />

design have enabled the development of high-precision<br />

thermal diffusivity sensors. Key improvements include<br />

significant power reduction, increased accuracy, direct<br />

digitization and full, CMOS-compatible integration. This<br />

paper presents an overview of several recently presented<br />

integrated temperature-to-frequency and temperature-todigital<br />

converters [10-18]. In Section II, the sensor and its<br />

operation are discussed in more detail. Two implemented<br />

readout architectures are described in section III. The<br />

corresponding measurement results are presented in section<br />

IV. The paper ends with conclusions.<br />

II. THE ELECTROTHERMAL FILTER<br />

The thermal diffusivity of silicon, D can be determined by<br />

measuring the characteristics of an Electrothermal Filter<br />

(ETF). Fig. 1 shows a simplified layout of a CMOS<br />

implementation. An ETF consists of a heater and a relative<br />

temperature sensor, separated by a distance s. The heater is<br />

created using an n+-diffusion resistor, while the relative<br />

temperature sensor consists of a number of series-connected<br />

p+-diffusion/aluminum thermocouples, i.e. a thermopile.<br />

s heater<br />

thermopile<br />

V tp<br />

Figure 1: Schematic diagram of an Electrothermal Filter (ETF).<br />

n-well<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 140<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Because D is finite, there is a finite delay before AC<br />

ETF<br />

power dissipated in the heater creates temperature fluctuations<br />

at the thermopile. As such, an ETF can be seen as a thermaldomain<br />

low-pass filter with temperature-dependent filtering<br />

φ constant<br />

characteristics.<br />

f ETF<br />

(T)<br />

(a)<br />

The filtering characteristics of an ETF can be analyzed by<br />

modeling the thermal transfer impedance between the heater<br />

and the junctions of the surrounding thermopile. For complex<br />

heater geometries, such as in the ETF of Fig. 2, this can be<br />

done by approximating the heater’s volume by a number of<br />

spheres, for which the heat equation is solvable [15, 19]. Using<br />

this model, the hot and cold junctions of the thermopile can be<br />

located on contours of constant phase shift [15], so that the<br />

vector sum of the various thermocouple voltages, and hence the<br />

ETF’s output amplitude, is maximized.<br />

Heater<br />

Thermopile<br />

Phase shift in degrees<br />

100<br />

80<br />

60<br />

f constant<br />

ETF<br />

φ ETF<br />

f ETF<br />

φ ETF (T)<br />

(b)<br />

(c)<br />

160<br />

110<br />

60<br />

Frequency in kHz<br />

S<br />

-60<br />

0 60 120<br />

Temperature in °C<br />

Figure 3: Temperature-dependent ETF output characteristics for both phaseand<br />

frequency readout.<br />

100 µm<br />

Figure 2: Photo of a CMOS ETF; the thermocouple junctions are aligned to<br />

have the same thermal impedance to the center of the heater.<br />

To understand how an ETF can be used to measure<br />

temperature, we consider a simplified ETF, consisting of a<br />

point heater and a point temperature sensor. When such an ETF<br />

is driven at a frequency f ETF , it has a phase shift φ ETF , which can<br />

be approximated by:<br />

φ ∝ s<br />

ETF<br />

fETF<br />

D( T )<br />

If either f ETF or φ ETF is kept constant, as shown in Fig. 3a<br />

and 3b respectively, the other will be a function of temperature.<br />

Simulation results are shown in Fig. 3c (for s =20 μm, f constant =<br />

85kHz, φ constant = 90°, D(300K) = 0.89cm/s 2 ).<br />

(1)<br />

From Eq. 1, it follows that in constant phase mode, f ETF (T)<br />

is proportional to 1/T 1.8 , and that in constant frequency<br />

mode, φ ETF (T) is proportional to T 0.9 . The effect of thermal<br />

expansion on s is at the 0.05% level, and is neglected here.<br />

The absolute accuracy of an ETF depends on the accuracy<br />

of its geometry, defined by s, and on D. For IC-grade bulk<br />

silicon, D is well-defined. For low doping levels, it is also<br />

insensitive to process spread [4]. The temperature-sensing<br />

inaccuracy of an ETF is therefore defined by spread in the<br />

distance s, which is caused by lithographic error (e.g. mask<br />

misalignment). This error can be minimized by making s<br />

sufficiently large.<br />

III. ETF READOUT ARCHITECTURES<br />

The main problem associated with reading out an ETF is<br />

its low output amplitude. Self-heating and power<br />

consumption issues limit the amount of power that can be<br />

dissipated in the ETF heater. Since silicon is a good thermal<br />

conductor, this means that, for a heater power of say 2.5mW,<br />

the temperature gradient across the thermocouples is only in<br />

the order of 40mK. Assuming a thermopile made up of 20<br />

thermocouples, each with a sensitivity of 0.5mV/K, this<br />

leads to a thermopile output voltage with an amplitude of<br />

about 400μV pp . Due to the presence of wideband white noise<br />

from the thermopile’s resistance, the measurement<br />

bandwidth then needs to be limited to about 0.5Hz to obtain<br />

a temperature-sensing resolution of 0.05ºC.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 141<br />

ISBN: 978-2-35500-010-2


ETF<br />

∫<br />

VCO<br />

f vco<br />

(T)<br />

output<br />

7-9 October 2009, Leuven, Belgium<br />

pin DIL package used, the chip’s 5mW power dissipation<br />

heats the chip to about 0.5°C above ambient temperature,<br />

which creates an offset in the output characteristic that is<br />

intrinsic to using a heater. This offset is systematic, and so<br />

does not introduce device-to-device spread. Errors due to<br />

mismatch in power dissipation or thermal impedance of the<br />

package are estimated to be at the 0.05°C level.<br />

Figure 4: Constant-phase ETF readout architecture<br />

As shown in Fig. 3, an ETF can either be driven at<br />

constant phase or at constant frequency. To keep φ ETF<br />

constant while limiting the measurement bandwidth, the<br />

system shown in Fig. 4 can be used. An integrator adjusts the<br />

output frequency of a voltage-controlled oscillator (VCO)<br />

until the integrator’s average input becomes zero. Since this<br />

is the output of a multiplier, this corresponds to a 90° phase<br />

shift between its two inputs, and so φ ETF is forced to 90°. The<br />

output frequency, f vco , is then proportional to 1/T 1.8 . The<br />

integrator reduces the system bandwidth to a narrow band<br />

around f vco [10-15].<br />

A similar architecture can be used to operate an ETF at<br />

constant frequency (Fig. 5). Here, f drive is derived from a<br />

reference clock, e.g. the crystal oscillator available in most<br />

digital systems. The use of a reference frequency enables a<br />

direct digital output, since the ETF’s thermal delay can now<br />

be compared to a reference. The ETF is now driven at a<br />

constant frequency, so its phase shift is temperature<br />

dependent. The ETF output signal is multiplied with a phaseshifted<br />

copy of the driving frequency, in such a way that,<br />

again, the integrator input becomes zero. This phase-shifted<br />

signal is generated by a digital phase rotator that is driven by<br />

an n-bit ADC. In this way, the output of this system will be a<br />

digital approximation of φ ETF [16, 17].<br />

f drive<br />

ETF<br />

n-bit digital<br />

phase rotator<br />

Figure 5: Constant-frequency ETF readout architecture.<br />

∫<br />

n<br />

n-bit ADC<br />

f s<br />

digital output<br />

For the constant-phase readout architecture, the frequency<br />

versus temperature characteristic is shown in Fig. 6. The<br />

measured phase shift of the ETF in constant frequency mode<br />

(f drive = 85 kHz) is shown in Fig. 7 [16].<br />

In constant frequency mode, the untrimmed device-todevice<br />

spread, measured for 16 devices from a single batch,<br />

corresponds to a worst-case temperature error of ±0.6ºC (3σ)<br />

over the military temperature range (-55ºC to 125ºC) [16].<br />

Fig. 9 shows the measured temperature error of each device<br />

when compared to the average of all measured devices; the<br />

3σ limits were calculated based on this data. The measured<br />

spread is dominated by errors caused by lithographic<br />

inaccuracy (i.e. spread in the distance s). Similar results were<br />

obtained with the constant-phase architecture.<br />

Thermal diffusivity sensors are insensitive to (high<br />

temperature) leakage currents, because the temperature<br />

information is in the time domain. Therefore, they also work<br />

over a wide temperature range (-70ºC to 160ºC) [18].<br />

Also, in contrast with traditional temperature sensors,<br />

inaccuracy is expected to improve in more advanced CMOS<br />

technology, as higher lithographic resolution will further<br />

reduce device-to-device variations in the thermal delay.<br />

frequency (kHz)<br />

200<br />

180<br />

160<br />

140<br />

120<br />

100<br />

80<br />

60<br />

-55 -35 -15 5 25 45 65 85 105 125<br />

Temperature (°C)<br />

Figure 6: Measured output frequency as a function of temperature.<br />

IV. MEASUREMENT RESULTS<br />

Both the architectures described above have been fully<br />

integrated in a 0.7μm CMOS technology (Fig. 8), and<br />

measurements show good accuracy and reproducibility [12,<br />

16]. The interfacing circuitry uses 2.5mW from a 5V supply,<br />

and the power dissipated in the ETF was set to 2.5mW. At<br />

this power level, the temperature resolution in a 0.5Hz<br />

bandwidth was measured to be 0.05°C. For the ceramic 16<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 142<br />

ISBN: 978-2-35500-010-2


Temperature error in ºC --><br />

φETF (degrees)<br />

1<br />

0.8<br />

0.6<br />

0.4<br />

0.2<br />

0<br />

-0.2<br />

-0.4<br />

-0.6<br />

-0.8<br />

100<br />

95<br />

90<br />

85<br />

80<br />

75<br />

70<br />

65<br />

60<br />

-60 -40 -20 0 20 40 60 80 100 120 140<br />

Temperature (°C)<br />

Figure 7: Measured phase shift as a function of temperature.<br />

Figure 8: Chip photo of one of the proof-of-concept devices.<br />

-1<br />

-60 -40 -20 0 20 40 60 80 100 120 140<br />

Temperature in ºC --><br />

Figure 9: Temperature error over temperature for 16 devices; the black lines<br />

indicate 3σ limits.<br />

CONCLUSIONS<br />

This paper has presented an overview of recently<br />

developed integrated temperature sensors based on thermal<br />

diffusivity. The thermal diffusivity of bulk silicon is a welldefined<br />

function of temperature, and as such can be used to<br />

create accurate temperature sensors. An Electrothermal Filter<br />

(ETF) can be used to realize a temperature-dependent<br />

7-9 October 2009, Leuven, Belgium<br />

thermal delay, which can then be measured in various ways.<br />

Proof-of-concept devices, realized in 0.7μm CMOS<br />

technology, provide either a frequency or a digital output<br />

that is a function of temperature. Without trimming, the<br />

measured device-to-device spread is ±0.6°C (3σ) over the<br />

military temperature range (-55°C to 125°C). This error is<br />

dominated by lithographic inaccuracy, and is expected to be<br />

much less in nanometer CMOS technology, as higher<br />

lithographic resolution will reduce the device-to-device<br />

variations in the thermal delay. Therefore, thermal<br />

diffusivity sensors are well-positioned as temperature<br />

sensors for thermal management in large ASICs and<br />

microprocessors.<br />

REFERENCES<br />

[1] H.F. Hamann et al., “Hotspot-limited microprocessors: Direct<br />

temperature and power distribution measurements,” JSSC, vol. 42, is. 1,<br />

pp. 56 -65, Jan. 2007.<br />

[2] M.A.P. Pertijs, K.A.A. Makinwa and J.H. Huijsing, “A CMOS<br />

temperature sensor with a 3σ inaccuracy of ±0.1ºC from -55ºC to<br />

125ºC”, IEEE Journal of Solid State Circuits, pp. 2805-2815, Dec.<br />

2005.<br />

[3] A.L. Aita, M.A.P. Pertijs, K.A.A. Makinwa, J.H.Huijsing, “A CMOS<br />

Smart Temperature Sensor with a Batch-Calibrated Inaccuracy of<br />

±0.25°C (3σ) from -70 to 130°C,” IEEE ISSCC Dig. Tech. Papers, pp.<br />

340-341, February 2009.<br />

[4] P. Turkes, “An ion-implanted resistor as thermal transient sensor for the<br />

determination of the thermal diffusivity in silicon,” Phys. Status Solidi<br />

A vol. 75, no. 2, pp. 519-523, 1983.<br />

[5] H.R. Shanks et al., “Thermal conductivity of silicon from 300 to<br />

1400K”, Phys. Rev. (USA), Vol. 130, pp. 1743 – 1748, 1963.<br />

[6] W. T. Matzen, R. A. Meadows, J. D. Merryman, and S. P. Emmons,<br />

“Thermal techniques as applied to functional electronic blocks,” Proc.<br />

IEEE, vol. 52, pp. 1496–1501, Dec. 1964.<br />

[7] G. Bosch, “A thermal oscillator using the thermo-electric (Seebeck)<br />

effect in silicon,” Solid-State Electron., vol. 15, no. 8, pp. 849–852,<br />

1972.<br />

[8] V. Szekely, “Thermal monitoring of microelectronic structures,”<br />

Microelectron.J., vol. 25, no. 3, pp. 157–170, 1994.<br />

[9] V. Szekely and M. Rencz, “A new monolithic temperature sensor: the<br />

thermal feedback oscillator,” in Dig. Transducers, Jun. 1995, vol. 15,<br />

pp. 849–852.<br />

[10] J.F. Witte, K.A.A. Makinwa and J.H. Huijsing, “An oscillator based on<br />

a thermal delay line,” Proc. of SeSens ’02, p.696 - 699, Nov. 2002.<br />

[11] K.A.A. Makinwa and J.F. Witte, “A temperature sensor based on a<br />

thermal oscillator,” Proc. Of IEEE Sensors 2005, pp. 1149–1151,<br />

October 2005.<br />

[12] K.A.A. Makinwa and M.F. Snoeij, “A CMOS temperature-to-frequency<br />

converter with an inaccuracy of ±0.5°C (3σ) from -40 to 105°C,” IEEE<br />

J. Solid-State Circuits, vol. 41, no. 12, pp. 2992–2997, December 2006.<br />

[13] C. Zhang and K.A.A. Makinwa, “Interface Electronics for a CMOS<br />

Electrothermal Frequency-Locked-Loop”, IEEE J. Solid-State Circuits,<br />

vol. 43, no. 7, pp. 1603–1608, July 2008.<br />

[14] C. Zhang and K.A.A. Makinwa, “The effect of substrate doping on the<br />

behavior of a CMOS electrothermal frequency-locked-loop,” Digest of<br />

Transducers, pp. 2283–2286, June 2007.<br />

[15] S. Xia, K.A.A. Makinwa, “Design of an optimized electrothermal filter<br />

for a temperature-to-frequency converter”, Proc. Of IEEE Sensors 2007,<br />

pp. 1255-1258, Oct. 2007.<br />

[16] C.P.L. van Vroonhoven and K.A.A. Makinwa, “A CMOS Temperatureto-Digital<br />

Converter with an inaccuracy of ±0.5°C (3σ) from -55 to<br />

125°C,” IEEE ISSCC Dig. Tech. Papers, pp. 576–577, February 2008.<br />

[17] S.M. Kashmiri, S. Xia, K.A.A. Makinwa, “A Temperature-to-Digital<br />

Converter Based on an Optimized Electrothermal Filter,” in Proc.<br />

ESSCIRC 2008, pp. 74–77, September 2008.<br />

[18] C.P.L. van Vroonhoven, K.A.A. Makinwa, “Thermal Diffusivity<br />

Sensors for Wide-Range Temperature Sensing”, Proc. IEEE Sensors<br />

2008, pp. 764–767, October 2008.<br />

[19] T. Veijola, “Simple model for thermal spreading impedance,” in Proc.<br />

BEC’96, Oct. 1996, pp. 73–76.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 143<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

High-Level Thermal Profiling of Mobile Applications<br />

Marius Marcu<br />

“Politehnica” University of Timisoara<br />

2 V. Parvan Blv.<br />

Abstract- Power consumption and heat dissipation are the<br />

major factors that limit the performance and mobility of battery<br />

powered devices. As they become key elements in the design of<br />

mobile devices and their applications, different power and<br />

thermal management strategies have been proposed and<br />

implemented during the last years in order to overcome the<br />

mobility limitation due to the battery lifetime. These design<br />

strategies are usually implemented at the lower levels of the<br />

systems, but one research direction in software development is to<br />

implement thermal and power control techniques at the higher<br />

levels of the system. The work presented in this paper evaluates<br />

the thermal response of the mobile system components related to<br />

the running software applications.<br />

I. INTRODUCTION<br />

Thermal behavior is expected to be an important design<br />

consideration for the next generation of microprocessors,<br />

both for high-performance computing and mobile computing<br />

[1]. Proper thermal management depends on two major<br />

elements: thermal packaging and thermal management.<br />

Thermal packaging includes heat-sink properly mounted to<br />

the processor and effective fans directing airflow through the<br />

system chassis [2]. Dynamic thermal management (DTM)<br />

strategies are used to reduce packaging cost without<br />

unnecessarily limiting performance, the package is designed<br />

for the worst typical application and any application that<br />

dissipate more heat should activate an alternative, run-time<br />

thermal management technique [3].<br />

Dynamic thermal management (DTM) has been a hot<br />

topic in last years [4]. The purpose of DTM is to achieve<br />

high-performance computing while maintaining the chip<br />

below a safe temperature [5]. However DTM techniques<br />

usually address the low-level layers in a computing system:<br />

hardware level, BIOS level and OS level. Addressing<br />

thermal management at higher levels of a computing system<br />

does not replace the DTM already implemented at lower<br />

levels, but extends these techniques to user applications and<br />

permits applications to adapt themselves in order to reduce<br />

the heat dissipation of the whole software and hardware<br />

system.<br />

The present paper tries to describe thermal profiling of<br />

software applications. In fact we want to know the thermal<br />

impact on different system components due to the running<br />

software applications. The final goal of our work is to<br />

establish a set of rules for thermal-aware applications and to<br />

implement them in mobile applications.<br />

This section will continue with a brief description of other<br />

recent or important papers in this research field. The authors<br />

of [1] investigate in their work the benefits of thermal-aware<br />

task scheduling with minimum performance degradation.<br />

Timisoara, Romania<br />

They consider that the task scheduler in a multi-tasking<br />

system can use thermal metrics when running different<br />

active tasks in order to reduce the number of cycles above<br />

the thermal threshold allowed for the microprocessor [1].<br />

Multicore architectures are becoming the main design<br />

paradigm for current and future processors, including mobile<br />

processors, because these architectures provide increased<br />

parallelism within the energy and thermal limits needed by<br />

such devices. The authors of [6] present an detailed study of<br />

different DTM techniques that can be used in multicore<br />

designs. They consider that thread migration and dynamic<br />

voltage and frequency scaling techniques are the most<br />

promising methods to control temperature in multicore<br />

architectures.<br />

Software level thermal management becomes an attractive<br />

extension to low level management techniques. In [7] the<br />

authors describe a software solution for temperature sensing<br />

methodology that can be used for thermal profiling of<br />

software applications. The temperature model is based on the<br />

interface with some system components parameters through<br />

performance counters.<br />

In [8] a software framework for dynamic energy<br />

efficiency and temperature management for computing<br />

systems is presented. The authors address both energy and<br />

temperature in a unified approach which combines a suite of<br />

energy-management techniques that can be activated<br />

individually or in groups according to a given policy. The<br />

evaluation has shown that the proposed framework [8] is<br />

very effective, because it delivers a 40% energy reduction<br />

with only a 10% application slowdown.<br />

In the core of dynamic thermal management schemes lies<br />

accurate reading of on-die temperatures [9]. Thermal<br />

management techniques based on on-line temperature<br />

sensing depend on monitoring sensors placement inside the<br />

processor chip and cores. In [9] the authors propose three<br />

techniques to create sensor infrastructures for monitoring the<br />

maximum temperature on a multicore system. They<br />

investigate the number of sensors and their placement, the<br />

number of active sensors and their selection in order to<br />

collect and predict the maximum temperature of each core in<br />

the microprocessor.<br />

An important aspect addressed also in our work is the<br />

unification of power consumption and thermal management<br />

[8,10]. The paper is organized as follows. In the next section<br />

we define the thermal profiling concepts and the software<br />

tool we implemented to characterize the thermal behavior of<br />

a mobile device and its applications. Some experimental<br />

results are presented in Section 3 and Section 4 contains our<br />

concluding remarks.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 144<br />

ISBN: 978-2-35500-010-2


II.<br />

THERMAL PROFILING OF MOBILE DEVICES<br />

A. Thermal benchmark software<br />

We build the thermal profiles for mobile applications<br />

using the concept of thermal benchmarks. We defined<br />

thermal benchmark as a software application that<br />

characterizes the thermal behavior of the system, component<br />

or application with respect to certain stimulus (workload). A<br />

thermal benchmark must by able to distinguish the way a<br />

hardware device temperature is increasing with workload<br />

and the way its temperature decrease when the workload is<br />

finished [11]. We address with our tests the CPU cores<br />

temperatures.<br />

7-9 October 2009, Leuven, Belgium<br />

software applications has a big influence on the temperature<br />

generation.<br />

Temperature [oC]<br />

100<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

CPU thermal profile<br />

0<br />

0 100 200 300 400 500 600 700 800 900<br />

Time [s]<br />

Fig. 2. Thermal signature for a processor<br />

CPU Temp<br />

100<br />

90<br />

CPU usage profile<br />

Time [s]<br />

80<br />

70<br />

60<br />

50<br />

40<br />

CPU Usage<br />

Fig. 1. Thermal benchmark definition<br />

A thermal benchmark is composed from three components<br />

(Fig. 1):<br />

- The first range [0-t1), is intended for idle mode<br />

temperature. During this time interval the CPU is in the idle<br />

state, the power management and saving mechanisms of the<br />

CPU are prevented to occur and the system’s and<br />

component’s parameters are monitored. This interval is used<br />

to estimate the idle state temperature of the CPU cores and to<br />

let them to achieve their idle state temperature.<br />

- The second range [t1-t2) represents the warming<br />

phase, when a certain workload is executed. SPEC CPU2000<br />

or any type of software applications can be executed as<br />

workload. We used in our tests one integer benchmark for<br />

the CPU. During this time interval, the component executes<br />

its job and the system’s and component’s parameters are<br />

continuously measured. While the CPU is running the<br />

benchmark its temperature increase in time until its cores<br />

achieves their equilibrium state.<br />

- The last range [t2-t3) represents the cooling phase<br />

intended for the component to reach again the idle state<br />

temperature. Within this step, the CPU is idle and the<br />

parameters are monitored. During this interval the CPU<br />

cores’ temperatures decrease until the idle temperature is<br />

reached.<br />

Running the benchmark on the same system a large number<br />

of times and averaging the measured temperatures we obtain<br />

the standard thermal signature of a certain processor for the<br />

selected workload (Fig. 2 and Fig. 3).<br />

B. Thermal profiling test cases<br />

The thermal dissipation problem of computing systems is<br />

in general a very complex one because each physical<br />

component from the system has its own temperature values<br />

depending especially on the execution operation type, so that<br />

we can say that together with the physical components, the<br />

30<br />

20<br />

10<br />

0<br />

0 100 200 300 400 500 600 700 800 900<br />

CPU Usage [%]<br />

Fig. 3. CPU load when integer benchmark was applied<br />

For every heat source in the system we can establish<br />

different thermal profiles (or thermal signatures) which<br />

express the thermal dissipation of the component for a given<br />

utilization profile (e.g. applied stimuli or workload). Every<br />

thermal profile is identified by a set of temperature values<br />

corresponding to different component usage models. A<br />

component usage model assumes a certain workload level of<br />

the running component. The temperature profiles we define<br />

establish a relationship between power states, workloads and<br />

energy consumed by the device or component being in these<br />

states.<br />

The process of extracting temperature profiles for a<br />

computing system is called system thermal profiling or<br />

characterization. In order to extract thermal profiles for CPU<br />

we propose a set of test that can be run multiple times for<br />

every system.<br />

CPU thermal profiles present a description of the CPU<br />

heat dissipation over the time when it executes different<br />

workloads with well known parameters. CPU thermal<br />

profiles are considered as a characteristic feature of the CPU<br />

because when it executes a specific workload a number of<br />

times with the same parameters, the same temperature<br />

profiles are obtained. In order to produce the CPU thermal<br />

profiles a number of tests are further introduced. CPU<br />

thermal profiling test cases are based in the thermal<br />

benchmarks introduced before. During thermal<br />

characterization the tests are running for a certain amount of<br />

time when the measurements and efficiency metrics are<br />

collected and recording by the framework.<br />

- CPU idle temperature: The CPU idle state thermal<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 145<br />

ISBN: 978-2-35500-010-2


profile describes the temperature variation over the<br />

time when the CPU is idle and do not execute any<br />

application, except the default operating system<br />

services. When the idle test is executed the CPU<br />

configuration parameters are set to default values, no<br />

workload is applied and no other user application or<br />

interaction is allowed. CPU default parameters mean<br />

that all cores are active and idle.<br />

- CPU workload type temperature: The CPU generates<br />

distinct heat levels for any instruction it executes.<br />

Some complex instructions generate higher<br />

temperatures to complete than the simple CPU<br />

instructions. However, at the higher levels the system<br />

cannot seize the differences between the thermal<br />

levels of two instructions, but we need an idea of heat<br />

dissipation of different instruction classes: integer,<br />

memory, floating point, etc. In case we know what<br />

kind of operations an application thread uses we can<br />

estimate its temperature for a specific workload.<br />

- CPU usage level temperature: The same workload the<br />

CPU can execute at different usage levels which may<br />

imply different temperature levels for the same type<br />

of workload. Using this profiling test we want to<br />

emphasize the relation between temperature and CPU<br />

usage or CPU time for certain workloads. Inside this<br />

test the same workload is repeatedly executed with<br />

different sleeping times in order to achieve different<br />

values for CPU usage.<br />

- CPU multithreading temperature: Another proposed<br />

CPU profile test is to launch the same algorithm<br />

workload on different thread counts using one single<br />

core. Using this test the OS task scheduling and<br />

switching operations along with workload operations<br />

are observed in order to get their temperature. In a<br />

multithreading test case it creates a number of threads<br />

running the same workload in order to see how thread<br />

count influence the overall temperature.<br />

- CPU multicore temperature: For multicore processors<br />

two new tests are needed to establish the relation<br />

between the number of active cores and their<br />

individual and cumulated temperatures. First, the test<br />

is used to activate every core one at the time to run<br />

the same workload, in order to see how much heat<br />

generates every active core. Next, the test activates<br />

successively step by step one more core while<br />

keeping the previous active and run the same<br />

workload on every active core, in order to emphasis<br />

the increasing temperatures for every new active<br />

core.<br />

C. Thermal profiling tool<br />

We implemented the thermal benchmarks and thermal test<br />

cases in a software application. Fig. 4 presents the overall<br />

architecture of this application. From the beginning we<br />

intended to build a portable monitoring application in order<br />

to run benchmarks on different platforms characterized by<br />

different hardware devices and operating systems. A design<br />

constraint was that we required the application to be scalable<br />

in order to easily support new types of sensors and chipsets,<br />

7-9 October 2009, Leuven, Belgium<br />

new types of measurement parameters and new types of<br />

workloads to be applied. In order to achieve a portable and<br />

scalable application we split it in a number of specialized<br />

modules: battery monitor, external power consumption<br />

monitor, thermal monitor, CPU and cores monitor and task<br />

monitor. More detailed data on the profiling application can<br />

be found in [12].<br />

Battery<br />

monitor<br />

Workload<br />

generator<br />

Power<br />

monitor<br />

Power-Thermal framework<br />

Thermal<br />

profiler<br />

Power-Thermal framework core<br />

Thermal<br />

monitor<br />

Chipsets and sensors<br />

CPU<br />

monitor<br />

Thermal<br />

profile logger<br />

Task<br />

monitor<br />

Fig. 4. Thermal profiling application architecture<br />

A thermal benchmark can be applied to every hardware<br />

device with built-in thermal monitoring capability (such as<br />

microprocessor, hard disk or video). The majority of<br />

microprocessors produced in last years include at least one<br />

built-in thermal sensor to aid in thermal management of<br />

servers, workstation or portable systems. As this thermal<br />

sensor is connected to a thermal diode on the processor core,<br />

it provides the earliest indication of thermal variation that<br />

can be read through our hardware monitor module.<br />

III. THERMAL PROFILES OF SOFTWARE APPLICATIONS<br />

The proposed test cases were run on one device: Fujitsu<br />

Siemens laptop, E series, Intel Core Duo Mobile processor, 2<br />

GHz, 1.5 GB memory, production year 2006. Every test case<br />

was run a number of times as much as possible at the same<br />

external conditions (e.g. temperature). Every test last 15<br />

minutes and follows the same pattern: 5 minutes idle - 5<br />

minutes workload and 5 minutes idle. While a test is run, the<br />

system parameters are continuously monitored: battery<br />

discharge rate, CPU temperatures and core temperatures,<br />

CPU usage and cores usages, CPU usage for the benchmark<br />

application. These parameters were logged and were<br />

processed and analyzed after the test.<br />

A. CPU Thermal Profiles<br />

Thermal profile of a processor when executing high<br />

intensive computations is shown in Fig. 2. The CPU load is<br />

100% and CPU temperature increase in time until the heat<br />

balance is achieved. When the workload is executed on a<br />

multicore architecture the single treaded benchmark<br />

application is continuously switched from one core to<br />

another. The overall CPU usage for the single threaded<br />

integer test application is 50% and the application receives<br />

different processor time from every core (Fig. 5). Thermal<br />

profiles of CPU and CPU cores when the same integer<br />

workload is executed are presented in Fig.6. The CPU cores<br />

are not at the same temperature because they are not<br />

balanced used.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 146<br />

ISBN: 978-2-35500-010-2


CPU Usage [%]<br />

100<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

CPU usage<br />

Core 0 usage<br />

Core 1 usage<br />

7-9 October 2009, Leuven, Belgium<br />

- long delays (CPU usage = 50-75%) - Fig. 8, inside<br />

the workload algorithm, with a selectable frequency,<br />

long delays are introduced. Depending on the delays<br />

periods and frequency different CPU loads could be<br />

achieved, but we obtained also different thermal<br />

profiles. Therefore, in some cases we can control and<br />

adapt a software application in order to reduce the<br />

heat dissipation due to this application (Fig. 8).<br />

T [oC]<br />

54<br />

0<br />

0 100 200 300 400 500 600 700 800<br />

Time [s]<br />

Fig. 5. CPU and cores usage for single thread test<br />

51<br />

48<br />

0ms<br />

25ms<br />

40ms<br />

50ms<br />

100<br />

90<br />

80<br />

45<br />

t [s]<br />

0 500 1000 1500 2000 2500<br />

Temperature [oC]<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

CPU temp<br />

Core 0 temp<br />

Core 1 temp<br />

CPU [%]<br />

100<br />

75<br />

50<br />

100%<br />

75%<br />

60%<br />

50%<br />

10<br />

25<br />

40<br />

38<br />

36<br />

34<br />

0<br />

0 100 200 300 400 500 600 700 800<br />

Time [s]<br />

Fig. 6. CPU and cores temperatures for single thread test<br />

The same CPU workload when executed at different CPU<br />

loads could have different thermal profiles function of the<br />

workload is implemented in software. For example the same<br />

workload was implemented in three ways:<br />

- full performance (CPU usage = 100%) - Fig. 7, the<br />

workload is implemented for best performance.<br />

- short delays (CPU usage = 50%) - Fig. 7, inside the<br />

workload algorithm, after a number of iterations short<br />

period Sleep function calls were introduced.<br />

Depending on the frequency of Sleep calls different<br />

CPU loads we could achieve.<br />

T [oC]<br />

42<br />

0<br />

t [s]<br />

0 500 1000 1500 2000 2500<br />

Fig. 8. Long sleeps workload implementations<br />

Running the same test with different delay parameters, so<br />

that a workload is executed with different CPU usage levels<br />

the plots in Fig. 9 were obtained.<br />

Core 0 Temperature [oC]<br />

100<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

Core temperature vs. core usage<br />

10<br />

0<br />

0 10 20 30 40 50 60 70 80 90 100<br />

Core 0 usage [%]<br />

CPU temperature vs. CPU usage<br />

100%<br />

90%<br />

70%<br />

32<br />

30<br />

t [s]<br />

0 200 400 600 800 1000 1200 1400 1600<br />

CPU [%]<br />

100<br />

75<br />

50<br />

25<br />

0<br />

t [s]<br />

0 200 400 600 800 1000 1200 1400 1600<br />

Fig. 7. Full performance and short sleeps workload implementations<br />

CPU Temperature [oC]<br />

100<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

0 10 20 30 40 50 60 70 80 90 100<br />

CPU usage [%]<br />

Fig. 9. (a) CPU core temperature versus CPU core usage;<br />

(b) CPU average temperature versus CPU usage<br />

50%<br />

45%<br />

35%<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 147<br />

ISBN: 978-2-35500-010-2


In Fig. 9 (a) the same integer workload was run on core 0<br />

at 100%, 90% and 70% CPU core usage levels. Four groups<br />

of dots can be observed: one cluster for the idle state<br />

temperatures and three clusters for the workload<br />

temperatures. The same pattern we can observe for the<br />

relation between CPU usage levels and average CPU<br />

temperatures. There is direct relation between CPU core<br />

temperatures and the CPU time (in terms of usage level) for<br />

the same type of workload, therefore we can estimate the<br />

contribution of the application to the CPU heat generation.<br />

Another aspect we investigated was the influence of<br />

different workload types on CPU cores’ temperatures. Fig.<br />

10 shows the thermal profiles of four workload types:<br />

integer, float, memory and SSE executed successively for<br />

the same amount of time on the same CPU core. Based on<br />

this test, in order to estimate the effect of a CPU intensive<br />

application over the temperature we have to know the type<br />

of operations the application implements.<br />

Temperature [oC]<br />

100<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

0 100 200 300 400 500 600 700 800 900<br />

Time [s]<br />

Integer<br />

Float<br />

Memory<br />

SSE2<br />

Fig. 10. Heat dissipation and power consumption<br />

B. Heat dissipation and Power consumption<br />

Part of the battery energy of a mobile device is<br />

transformed into heat. The increase in temperature enforces<br />

more energy to be consumed. In Fig. 11, power consumption<br />

profile for the previous memory workload is presented.<br />

40000<br />

35000<br />

Battery power consumption<br />

7-9 October 2009, Leuven, Belgium<br />

current profile. This increase of approx. 4W during the<br />

workload execution is due to the heating of the device.<br />

C. Multithreading and Multicore Thermal Profiles<br />

First we run one single workload thread on every CPU<br />

core available in the processor: when the workload was run<br />

on core 0 the plot in Fig. 12 (1) was obtained and when it<br />

was launched on core 1, the plot (2) describes the<br />

temperature variation. When the workload thread set its<br />

affinity to both CPU cores, we obtained the plot (3) in Fig.<br />

12. For this case the operating system schedules the thread<br />

on both cores uneven (in our presented test: 75% core 0 and<br />

25% core 1). It can be also observed that the CPU cores’<br />

temperatures are not equal even if they run the same<br />

workload 100% (Fig. 12 (1) and (2)).<br />

Temperature [oC]<br />

Fig. 12. CPU cores temperatures<br />

The second test presented in Fig. 13 describes the results<br />

of (1) one workload thread executed by one core, (2) two<br />

workload threads executed by one single core and (3) two<br />

threads run by both CPU cores.<br />

Temperature [oC]<br />

120<br />

100<br />

80<br />

60<br />

40<br />

CPU cores temperatures<br />

100<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

0 100 200 300 400 500 600 700 800 900<br />

Time [s]<br />

CPU cores temperatures<br />

(1) Core 0 100%<br />

(2) Core 1 100%<br />

(3) Core 0 75%<br />

(3) Core 1 25%<br />

(1) Core 0 100%<br />

(2) Core 0 100%<br />

(3) Core 0 100%<br />

Power consumption [mW]<br />

30000<br />

25000<br />

20000<br />

15000<br />

10000<br />

5000<br />

0<br />

0 100 200 300 400 500 600 700 800 900<br />

Time [s]<br />

Fig. 11. Heat dissipation and power consumption<br />

During the second phase of the benchmark, when the<br />

workload is applied, the temperature of the processor and<br />

also the temperature of the entire mobile device increase (in<br />

our example the temperature increases from 60 to ~100 o C).<br />

This increase in temperature of has an effect on power<br />

consumption, and a smooth increase (from 30W to 34W)<br />

during phase 2 of the benchmark can be observed in the<br />

20<br />

0<br />

0 100 200 300 400 500 600 700 800 900<br />

Time [s]<br />

Fig. 13. CPU threads temperatures<br />

D. Thermal-Aware Application<br />

We implemented a database application with long<br />

database processing tasks. The application was written in<br />

Visual C++ 2005, uses MS SQL server. The database<br />

processing task was implemented with different thermal<br />

management operations. In Fig. 15 thermal signatures for the<br />

same task workload implemented with different thermal<br />

management techniques are presented. We can reduce<br />

maximum CPU temperature with around 10 o C implementing<br />

DTM at application level (AP) with a decrease in<br />

performance of 40%.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 148<br />

ISBN: 978-2-35500-010-2


T [oC]<br />

55<br />

50<br />

45<br />

40<br />

35<br />

30<br />

t [s]<br />

0 500 1000 1500 2000 2500<br />

Fig. 15. Heat dissipation and power consumption<br />

IV.<br />

CONCLUSIONS<br />

100% (SO)<br />

75% (SO)<br />

60% (SO)<br />

60% (AP)<br />

The work presented in this paper tried to evaluate the<br />

thermal response of the mobile system CPU and its cores<br />

related to the running software applications. We tried to<br />

characterize the thermal impact of system CPU cores due to<br />

the workload threads of the executed applications. We<br />

investigated the possibility to identify the effect of every<br />

running application in the system over the CPU, cores and<br />

system temperatures. We proposed a set of test cases to<br />

identify relation between different system’s and<br />

application’s parameters and temperature. Based on our<br />

experiments, the process of thermal effect split among<br />

running applications is not a simple task and depends on<br />

many factors. For certain cases and workloads we can do<br />

that split based on workload type, CPU usage level and<br />

threads and cores used.<br />

ACKNOWLEDGMENT<br />

This work was supported by Romanian Ministry of<br />

Education CNCSIS grant 680/19.01.2009.<br />

REFERENCES<br />

[1] Eren Kursun, Chen-Yong Cher, Alper Buyuktosunoglu, Pradip<br />

Bose, “Investigating the Effects of Task Scheduling on Thermal<br />

Behavior”, Third Workshop on Temperature-Aware Computer<br />

Systems (TACS 2006), 2006.<br />

7-9 October 2009, Leuven, Belgium<br />

[2] S.H. Gunther, F. Binns, D.M. Carmean, and J.C. Hall, “Managing<br />

the Impact of Increasing Microprocessor Power Consumption”,<br />

Intel Technology Journal, Q1, 2001<br />

[3] K. Skadron, M.R. Stan, W. Huang, S. Velusamy, K.<br />

Sankaranarayanan, and D. Tarjan, “Temperature-aware<br />

Microarchitecture”, Proceedings of the 30 th ACM/IEEE<br />

International Symposium on Computer Architecture, San Diego,<br />

CA, June 2003, pp. 2-13.<br />

[4] Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy,<br />

Karthik, Sankaranarayanan, David Tarjan, “Temperature-Aware<br />

Computer Systems: Opportunities And Challenges”, IEEE Micro,<br />

Dec. 2003.<br />

[5] V. Szekely, M. Rencz, and B. Courtois, “Tracing the Thermal<br />

Behaviour of ICs”, IEEE Design&Test of Computers, Vol. 15, No.<br />

2, April-June 1998, pp. 14-21.<br />

[6] Pedro Chaparro, Jose Gonzalez, Grigorios Magklis, Qiong Cai, and<br />

Antonio Gonzalez, “Understanding the Thermal Implications of<br />

Multicore Architectures”, Ieee Transactions On Parallel And<br />

Distributed Systems, Vol. 18, No. 8, Aug. 2007.<br />

[7] Kyeong-Jae Lee and Kevin Skadron, “Using Performance counters<br />

for Runtime Temperature Sensing in High-Performance<br />

Processors”, Proceedings of the 19th IEEE International Parallel<br />

and Distributed Processing Symposium (IPDPS’05), 2005.<br />

[8] Michael Huang, Jose Renau, Seung-Moon Yoo, and Josep<br />

Torrellas, “A framework for dynamic energy efficiency and<br />

temperature management”, Proceedings of the 33rd annual<br />

ACM/IEEE international symposium on Microarchitecture, pp. 202-<br />

213, 2000.<br />

[9] Jieyi Long, Seda Ogrenci Memik, Gokhan Memik, and Rajarshi<br />

Mukherjee, “Thermal Monitoring Mechanisms for Chip<br />

Multiprocessors”, ACM Transactions on Architecture and Code<br />

Optimization, Vol. 5, No. 2, Aug. 2008.<br />

[10] Ke Meng, Russ Joseph, Robert Dick, and Li Shang, “Multioptimization<br />

power management for chip multiprocessors”,<br />

Proceedings of the 17th International Conference on Parallel<br />

Architectures and Compilation Techniques, Canada, 2008.<br />

[11] Marcu Marius, Vladutiu Mircea, Moldovan Horatiu, Popa Mircea,<br />

“Thermal Benchmark and Power Benchmark Software”,<br />

Proceedings of the 12th IEEE International Workshops on<br />

THERMal Investigations of ICs and Systems, THERMINIC 2006,<br />

Nice, France, Sep. 2006, pp. 203-208.<br />

[12] Marcu Marius, Dacian Tudor, Moldovan Horatiu, Sebastian Fuicu<br />

and Popa Mircea, “Energy characterization of mobile devices and<br />

applications using power– thermal benchmarks”, Microelectronics<br />

Journal, Vol. 40, No. 7, pp. 1141-1153, Jul. 2009.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 149<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Hotspot-adapted Cold Plates to Maximize System Efficiency<br />

Thomas Brunschwiler, Hugo Rothuizen, Stephan Paredes, and B. Michel<br />

IBM Research GmbH, Zurich Research Laboratory, 8803 Rüschlikon, Switzerland<br />

tbr@zurich.ibm.com, +41 44 724 86 81<br />

Evan Colgan<br />

IBM T.J. Watson Research Center, 1101 Kitchawan Road, Yorktown Heights, NY 10598, USA<br />

Pepe Bezama<br />

IBM East Fishkill, 2070 Route 52, Hopewell Junction, NY 12533, USA<br />

This modeling study is focused on the potential and the<br />

limitations of hotspot-adapted liquid heat removal to improve<br />

on system pumping power and on the re-usability of output<br />

heat, for various packaging schemes at the component level.<br />

This is in particular important to improve the power efficiency<br />

of datacenters with the consequence to reduce total cost of<br />

ownership and their impact on the environment. Inefficient air<br />

cooling is responsible for up to 40% of their total power<br />

consumption. High-performance liquid cooling has the<br />

potential to reduce this number substantially and makes the<br />

direct re-use of produced heat in neighborhood-heating<br />

networks viable. The application of normal-flow and crossflow<br />

cold plate architectures is discussed.<br />

Custom-tailored normal-flow cold plates can be produced with<br />

high spatial contrast in heat transfer with a granularity of<br />

1 mm 2 . For conventional processor chip packages this results<br />

in a flow rate reduction and fluid temperature differential<br />

(T fout -T fin ) increase of 28%. This also translates into a net<br />

pumping power decrease of 43% for a server rack with<br />

multiple heat sources.<br />

Heat flux tailoring with cross-flow heat exchangers is subject<br />

to the additional constraint of a fixed volume flow over the<br />

length of the channels, which calls for modulation of the heat<br />

transfer geometry along the channel in order to address hot<br />

spots. In this study the fluid flows through a layered-mesh<br />

network, in which the number of mesh layers is modulated.<br />

For standard packages employing thermal grease interfaces,<br />

we find that for a given flow rate, there is little benefit in terms<br />

of maximal junctions temperature at the expense of a<br />

significant increase in pressure drop. The parameter<br />

improving is the on-chip temperature variation.<br />

We conclude the study with recommendations on how to<br />

design hotspot-adapted cold plates.<br />

I. INTRODUCTION<br />

Worldwide, the number, size, and power consumption of<br />

datacenters doubled between 2000 and 2005 due to<br />

information technology (IT) consolidation trends and<br />

increased demand from Web2.0 applications, such as video<br />

streaming. Large datacenters consume up to 200 MW of<br />

electricity, of which 40% is used only to run the cooling<br />

infrastructure and is representing a significant portion to the<br />

operating cost [1]. This is the result of the use of inefficient<br />

air-cooling technology, which relies on computer-room airconditioners.<br />

The power usage effectiveness (PUE), defined<br />

as the ratio of total datacenter power divided by the power<br />

consumption of the IT equipment, can be improved by<br />

implementing advanced liquid-cooling technology [2]. For<br />

low thermal gradients from junction to the fluid of ≤ 20 K<br />

and a junction temperature limit (T jmax ) of 85°C, the ITequipment<br />

can be cooled without chillers all year long.<br />

Moreover, in cold and moderate climates, the ≥ 65°C<br />

“waste” heat from liquid cooling can be sold to district<br />

heating networks [3]. The value of this available heat<br />

depends strongly on the its quality, namely temperature<br />

level.<br />

In this study we report on the potential benefits of hotspotadapted<br />

cold plates on the component level. Cooling the<br />

spatially non-uniform power dissipation of processors [4] by<br />

means of uniform heat transfer is not a efficient solution [5].<br />

Too much pumping power is spent on chip areas with low<br />

heat flux. Furthermore exergy is reduced as the cold and hot<br />

fluids mix at the outlet manifold of the cold plate, reducing<br />

the value of the available heat. Moreover, this type of<br />

cooling also results in high temperature gradients on the die,<br />

causing thermo-mechanical-stress-induced aging.<br />

Tailored, steady-state normal-flow and cross-flow heat<br />

transfer concepts on the component level are presented and<br />

benchmarked against uniform heat removal. Their benefit in<br />

different packages is discussed. Finally the cold-plate<br />

efficiency in a complete server rack with multiple heatgenerating<br />

devices is reported.<br />

II. SPATIALLY RESOLVED HEAT REMOVAL<br />

Non-uniform heat transfer for a given unit-cell heat-transfer<br />

geometry can be realized in normal flow (Fig. 1a) and jet<br />

array cold plates [10] by local flow throttling. The parallel<br />

fluid feed allows each unit cell to be addressed<br />

independently, by changing the fluid delivery diameter of<br />

each unit cell. The modulation in cross-flow heat<br />

exchangers [9] is constrained. The volume flow rate can<br />

only be changed for zones transversal to the flow direction,<br />

but is constant for subsequent unit cells. Therefore the heattransfer<br />

geometry (such as the channel hydraulic diameter or<br />

cavity porosity) is modified to modulate all unit cells<br />

individually (Fig. 1b).<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 150<br />

ISBN: 978-2-35500-010-2


Fig. 1. Package cross-section illustrating the spatially resolved heat transfer<br />

modulation concept for a) normal-flow and b) cross-flow architecture.<br />

The hotspot addressing efficiency strongly depends on the<br />

spreading characteristics of a package. The heat-flux<br />

contrast in the cold plate base compared with the source is<br />

reduced in classical packages because of the 720-μm<br />

silicon die, the bottleneck of the thermal interface material<br />

(TIM), and the cooler base plate. For interlayer-cooled 3Dchip<br />

stacks, where coolant picks up heat 10 to 50 μm from<br />

its source, smaller hotspots can be treated [6]. The gain of<br />

hotspot cooling for direct-attach (Fig. 2a) and TIM-attach<br />

(Fig. 2b) packages are investigated and compared.<br />

Fig. 2. Schematic of a (a) direct-attach and (b) TIM-attach package.<br />

(HT: heat transfer)<br />

To maximize the available exergy, the fluid temperature<br />

increase from inlet to outlet of the cold plate (ΔT fout-in ) at a<br />

given maximal junction temperature (T jmax ) and power<br />

dissipation (P el ) needs to be maximized. This translates<br />

according to the sensible heat ( Q ) definition (1) with fluid<br />

density (ρ) and heat capacity (c p ) into the minimization of<br />

the flow rate (V ):<br />

Q<br />

V =<br />

. (1)<br />

ΔT fout<br />

⋅ c ⋅ ρ<br />

−in<br />

p<br />

This parameter is then used as the cost function in the heattransfer<br />

optimization process, representing the inverse of the<br />

exergy accordingly.<br />

Moreover, the pumping power efficiency of the cold plate<br />

is benchmarked. Most publications compare the cold plate<br />

performance isolated from the complete cooling system.<br />

Considering server-rack liquid cooling with many power<br />

sources and parallel coupled cold plates, this is not a<br />

meaningful metric. Additional fluid loop pressure drops due<br />

to secondary fluid-fluid heat exchanger, filters, and fluid<br />

quick-connections all add up to the total pressure drop. The<br />

total system pumping power for low flow rate cold plates<br />

with moderately increased cold plate pressure drop still is<br />

reduced because of the minimization of the total flow rate<br />

and parasitic pressure drop.<br />

7-9 October 2009, Leuven, Belgium<br />

III. NORMAL-FLOW COLD PLATE STUDY<br />

For this test case, experimentally defined unit cell flow rate<br />

( V ) to heat transfer characteristics (h ) of a<br />

n , m<br />

n,m<br />

commercially available high performance normal-flow cold<br />

plate is used [7] (Fig. 3) (Mikros Technologies). It is<br />

hn<br />

m k<br />

V ,<br />

n, m<br />

= g ⋅( ) ⋅ An<br />

, m<br />

h<br />

, (2)<br />

0<br />

with coefficients g = 4.97 × 10 -11 L/min/cm 2 , k = 1.939 and<br />

h 0 = 1 W/(m 2 *K) for the unit-cell area A. All unit cells are<br />

coupled in parallel to the manifold. Therefore the cell with<br />

the highest heat-flux need defines the pressure drop from<br />

inlet to outlet, and not the size of the cold plate area as in<br />

cross-flow heat exchange. The cold plate flow rate (V ) is<br />

computed by adding the unit-cell flow rates<br />

. (3)<br />

V<br />

= ∑<br />

V n , m<br />

n,<br />

m<br />

The server-rack cooling-loop flow rate ( V <br />

system<br />

) and<br />

pressure drop (Δp loop ) depend on the number of cold plates<br />

(n) coupled in parallel and the coefficient of flow (k v<br />

[L/min]) of the cooling loop:<br />

V<br />

system<br />

= n ⋅V<br />

V<br />

system<br />

and Δp<br />

( )<br />

2<br />

loop<br />

= ⋅ p0<br />

, (4)<br />

kv<br />

with p 0 = 1 bar. For one server rack, we assume 56 cold<br />

plates (Fig.3, green curve). The total system pressure drop is<br />

the server-cooling loop plus the cold-plate pressure drop<br />

Δp system = Δp cp + Δp loop .<br />

Fig. 3. Heat transfer coefficient (h eff) and pressure drop characteristics of<br />

the uniform cold plate at normalized volumetric flow rates (Δp cp) and a<br />

typical server fluid loop pressure drop (Δp loop) attached to 56 parallel cold<br />

plates.<br />

A simplified power map of a high-performance processor<br />

was chosen as model input (Fig. 4). The peak heat flux is<br />

three to four times higher than the average power density of<br />

50 W/cm 2 . Total power dissipation is 120W and the hotspot<br />

area fill-factor is 10%.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 151<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Table 1. Detailed results of uniform vs. tailored heat-transfer cold plates.<br />

TIM-attach: case 1; direct-attach: cases 2 and 3.<br />

Fig. 4. Simplified processor power map with hotspot area (red, orange).<br />

The heat transfer surface is discretized with a granularity of<br />

1 mm 2 according to the fabrication limit of one unit cell.<br />

The heat-transfer coefficients of these unit cells can be<br />

changed independently (Fig. 5). The heat-conduction problem<br />

is solved with finite-element analysis using the commercial<br />

solver ANSYS. As initial value a uniform heattransfer<br />

coefficient was applied calculated from the package<br />

thermal impedance, total chip power and available thermal<br />

budget. The spatial distribution of the heat transfer coefficient<br />

after each iteration is adjusted in proportion to the heat<br />

flux map at the cooler base obtained in the preceding<br />

iteration. This factor is adjusted in the course of sub-sequent<br />

iterations to reach a convergence stop condition given by a<br />

predetermined threshold value for ΔT jmax = T jmax N+1 - T jmax N .<br />

A less stringent thermal budget was chosen for TIM-attach<br />

owing to the additional TIM thermal resistance of 12 K<br />

mm 2 /W. In both cases, fluid outlet temperatures ≥ 60°C are<br />

achieved while maintaining a maximal junction temperature<br />

of 80°C.<br />

The cost ratios for flow rate, pressure drop, and pumping<br />

power of the cold plate and the server-rack cooling loop is<br />

shown in Fig. 6. The ratio is defined as performance of the<br />

custom-tailored divided by the uniform heat-transfer cold<br />

plate with the same package configuration. As expected<br />

non-uniform heat transfer results in a reduced flow rate and<br />

an increased fluid outlet to inlet temperature. This is<br />

especially pronounced for heat removal close to the heat<br />

source, such as case 3, corresponding to a quasi-onedimensional<br />

heat flux. For the realistic case 1, the reduction<br />

is 28%. Heat-transfer coefficient tailoring results in an<br />

increased cold-plate pressure drop because of the need for<br />

higher peak heat-transfer coefficients. Moreover, also an<br />

increased cold-plate pumping power is needed in cases 1<br />

and 2. Nevertheless, the system pumping power is reduced<br />

by 43% for case 1 and by up to 97% for case 3 because of<br />

the total flow rate reduction.<br />

Fig. 5. Definition of boundary condition for the finite- element analysis.<br />

Spatially resolved heat flux and heat transfer coefficients are applied on the<br />

chip (orange) bottom side and cooler base (blue) back-side, respectively.<br />

The resulting heat flux map at the cooler is the base for heat transfer<br />

scaling for the next iteration.<br />

The resulting flow rates and pressure drops for uniform and<br />

tailored heat transfer for the TIM-attach (case 1) and directattach<br />

(cases 2, 3) mode with a temperature gradient budget<br />

of 20 and 10 K, respectively, is presented in Table 1.<br />

Fig. 6. Cost ratios (parameter for tailored cold plate divided by that for<br />

uniform cold plate) of cold-plate volumetric flow rate and pressure drop<br />

(dp cp), as well as pumping power for the individual cold plate (P cp) and the<br />

complete system (P system). TIM-attach: case 1, direct-attach: cases 2 and 3.<br />

IV. CROSS-FLOW COLD-PLATE STUDY<br />

The cross-flow cold plate is built of several 0.4-mm-thick<br />

copper sheets (referred to as mesh layers) patterned using<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 152<br />

ISBN: 978-2-35500-010-2


photolithography and subsequent isotropic wet-etching. A<br />

unit cell with a longitudinal and transversal periodicity of<br />

1.5 mm and 0.87 mm, respectively, is shown schematically<br />

in Fig. 7a. The individual copper sheets are oxidized,<br />

aligned, compressed and annealed to form the cold-plate<br />

cavity. Detailed information concerning the direct copper<br />

bonding (DCB) process and the unit-cell geometry can be<br />

found in [8] and [9], respectively.<br />

a) b)<br />

7-9 October 2009, Leuven, Belgium<br />

The same power map as used in section III was the design<br />

and modeling base (Fig. 4). A two-zone cold-plate<br />

architecture is chosen to deliver the low-temperature inlet<br />

fluid directly to the hotspots. The inlets are placed along the<br />

long chip edge to minimize the pressure drop by reducing<br />

the fluid flow distance to the central outlet (Fig. 9). The<br />

flow rate in parallel zones can be modulated by changes in<br />

mesh numbers. To prevent fluid bypassing, individual zones<br />

are separated by solid walls.<br />

Fig. 7. (a) Schematic of a single heat-transfer unit cell. (b) Stack of four<br />

heat-transfer unit-cell layers (mesh layers).<br />

Local heat transfer and fluid velocity can be modulated by<br />

the number of mesh layers. This has the consequence of<br />

changing fluid velocities and wetted surface area. We<br />

computed the pressure gradient as well as the effective heat<br />

transfer coefficient by means of detailed computational fluid<br />

dynamic (CFD) modeling considering laminar flow in unit<br />

cells having one to three mesh layers using the commercial<br />

solver FLUENT. The CFD-mesh quality was assessed<br />

and the residual target was set to 10 -5 as the convergence<br />

criteria to minimize numerical artifacts. For a given flow<br />

rate, the pressure need is monotonically reduced with the<br />

number of mesh layers (Fig. 8). Reynolds numbers for the<br />

considered pressure drop range are < 1000, therefore the<br />

assumption of laminar flow is valid. The effective heattransfer<br />

coefficient is maximal for two mesh layers because<br />

of two competing heat transfer parameters: With increasing<br />

mesh layer number, the wetted area responsible for heat<br />

transfer is increasing linearly, while on the other hand the<br />

fluid velocity is reduced.<br />

Fig. 9. Top view of two-zone heat exchanger with two inlets at the chip<br />

edge close to the hotspots and one outlet in the die center. Different mesh<br />

densities represent different numbers of mesh layers. Flow rates are<br />

throttled in low-power zones. Individual zones are separated by solid walls.<br />

To efficiently model the heat transfer characteristic of such<br />

non-uniform cold plates, a hybrid model was chosen and<br />

implemented with ANSYS. The fluid flow and the<br />

convective thermal resistance are represented with a lumped<br />

resistor network. The resistor parameters are derived from<br />

the detailed CFD modeling. Heat conduction in the solid is<br />

computed by the finite-element method due to its simplicity.<br />

One end of the convective thermal resistance is bound to the<br />

wall temperature to realize heat flow between the solid and<br />

fluid domain (Fig. 10).<br />

Fig. 10. Schematic of the conjugated heat and mass transfer model using<br />

lumped resistor elements for fluid flow (R fluid) and heat convection (R conv1,<br />

R onv2). Heat conduction in the solid is computed by the finite-element<br />

method. (a) Streamwise cross section showing the connection of the<br />

lumped resistors to the solid. (b) Transversal cross section visualizing zone<br />

separation and heat conduction path from cold-plate base to top plate<br />

through solid walls. Solid-fluid heat transfer is only considered at the top<br />

and the bottom plate.<br />

Fig. 8. Pressure gradient (solid lines) and the effective heat transfer<br />

coefficient (dashed lines) characteristic derived from CFD modeling for<br />

mesh cavities having 1 to 3 layers.<br />

Five test cases were defined with respect to the test power<br />

map (Fig. 11). Case A) is the reference sample with uniform<br />

flow rate and the largest heat transfer areas of 13.5 x 19<br />

mm 2 . In cases B) and C), the flow is blocked completely or<br />

throttled on low heat flux area. Changing mesh numbers in<br />

streamwise direction is realized in design E). Two layer<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 153<br />

ISBN: 978-2-35500-010-2


meshes with highest heat removal rate are deployed on the<br />

hot spots. Three layer meshes on low heat flux areas<br />

minimize the pressure drop.<br />

Fig. 11. Mesh design of test cold plates. Colors represent number of mesh<br />

layers (pink 3, red 2, blue 1, turquoise no mesh). Dark blue squares are<br />

inlets and outlets. In the lower left panel, the unit-cell alignment is shown.<br />

With a silicon die size of 720μm and a thermal interface<br />

resistance of 15 K*mm 2 /W the temperature gradient from<br />

highest junction to fluid inlet temperature is not<br />

significantly changed for all test cases (Fig. 12). Pressure<br />

drop wise the uniform heat transfer utilizing all chip area for<br />

heat transfer is performing best followed by the stream wise<br />

cavity modulation experiment E). Non-uniform heat<br />

removal only improves the temperature uniformity (ΔT j ) on<br />

the die as indicated with the dashed lines. Case B)<br />

outperforms uniform case A) by 5K.<br />

7-9 October 2009, Leuven, Belgium<br />

V. CONCLUSION<br />

Tailored, steady-state normal-flow cold plate results clearly<br />

demonstrate the potential of hotspot cooling in case of quasi<br />

one-dimensional heat flux. Modeling suggests that the flow<br />

rate can be reduced by 81% in case of 1μm die thickness<br />

and direct-attach heat transfer mode. Both system pumping<br />

power and fluid outlet temperature relevant for heat re-use<br />

benefit from such low flow rate cold plates. However for a<br />

realistic chip thickness of 720μm and thermal interface<br />

(R TIM =12 K mm 2 /W) the benefit is shortened to a flow rate<br />

reduction of 28% and system pumping power saving of<br />

43%.<br />

This pumping power and volumetric flow rate reduction<br />

results in a improved power usage effectiveness in the<br />

datacenter. Furthermore the increased fluid outlet<br />

temperature increases the monetary value of the heat<br />

potentially sold to a neighborhood-heating network.<br />

In case of the presented cross-flow heat exchange<br />

architecture the benefits for a realistic package including a<br />

thermal interface are vanishing. The results show clearly,<br />

that uniform heat transfer on the total chip backside is<br />

resulting in equal peak junction temperature but reduced<br />

pressure drop. The additional constraint of stream wise<br />

constant flow rate in a certain fluid zone in combination<br />

with the low change in heat transfer coefficient for varying<br />

mesh cavity heights are responsible for poor heat transfer<br />

contrast at the cold plate base. The only benefit of tailored<br />

cold plates is the reduction in thermal gradient on the<br />

processor die with the benefit of increased reliability.<br />

We presented the benefits but also limits of hotspot cold<br />

plates as a single component and applied in a server rack<br />

with multiple processors. In standard TIM-attach packages<br />

only cold plates with high heat transfer contrast in x and y<br />

direction showed improved performance.<br />

Further work on the normal-flow cold plate should<br />

concentrate on eliminating the throttles by considering heat<br />

transfer geometry modulation. For cross-flow heat<br />

exchangers means to increase stream wise heat transfer<br />

contrast have to be studied. We propose to change hydraulic<br />

diameters instead of mesh cavity height.<br />

Fig. 12. Maximal junction to fluid inlet temperature gradient (T jmax-<br />

T fin)(full lines), chip temperature uniformity (ΔTj)(dashed lines with full<br />

bullets), and pressure drop (full lines, empty bullets) of all cross-flow<br />

heat exchange cases.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 154<br />

ISBN: 978-2-35500-010-2


VI. NOMENCLATURE<br />

A nm unit cell area [m 2 ]<br />

c p specific heat capacity [J/(kg*K)]<br />

g coefficient [L/min/cm 2 ]<br />

h eff effective heat transfer coeff. [W/(m 2 *K)]<br />

h nm unit cell heat transfer coefficient [W/(m 2 *K)]<br />

HT heat transfer<br />

k coefficient [-]<br />

k v coefficient of flow [L/min]<br />

n number of cold plates [-]<br />

P cp cold plate pumping power [W]<br />

P system total system pumping power [W]<br />

total electrical processor power [W]<br />

P el<br />

Δp loop<br />

Δp cp<br />

Δp system<br />

dp/dx<br />

Q <br />

rack cooling loop pressure drop [bar]<br />

cold plate pressure drop [bar]<br />

system pressure drop = Δploop + Δpcp [bar]<br />

pressure gradient [Pa/m]<br />

heat flow [W]<br />

q<br />

nm<br />

unit cell heat flux [W/cm 2 ]<br />

R fluid fluid resistance [kg/(s*m 4 )]<br />

R conv convective thermal resistance [K*mm 2 /W]<br />

R TIM thermal interface resistance [K*mm 2 /W]<br />

T jmax maximal junction temperature [°C]<br />

T fout fluid outlet temperature [K]<br />

fluid inlet temperature [K]<br />

T fin<br />

ΔT fout-in<br />

ΔT j<br />

t nm<br />

t Si<br />

t TIM<br />

V <br />

fluid temp. difference from outlet to inlet [K]<br />

T jmax - T jmin [K]<br />

unit cell cavity height [mm]<br />

silicon die thickness [mm]<br />

bond-line thickness [mm]<br />

cold plate volumetric flow rate [L/min]<br />

V nm<br />

unit cell volumetric flow rate [L/min]<br />

V system<br />

rack cooling loop vol. flow rate [L/min]<br />

7-9 October 2009, Leuven, Belgium<br />

Greek letters<br />

ρ density of water [kg/m 3 ]<br />

constants<br />

h 0<br />

p 0<br />

reference heat transfer coefficient = 1 W/(m 2 *K)<br />

reference pressure = 1bar<br />

subscript/superscript<br />

cp cold plate<br />

el electrical<br />

eff effective<br />

j junction<br />

fout-in fluid outlet minus inlet<br />

fin fluid inlet<br />

fout fluid outlet<br />

loop server rack cooling loop<br />

max maximal<br />

m cell number in y-direction<br />

n cell number in x-direction<br />

ACKNOWLEDGMENT<br />

We acknowledge Reto Wälchli, Urs Kloter, and Martin<br />

Witzig for their technical contributions and John Magerlein<br />

and Walter Riess for their continuous support.<br />

REFERENCES<br />

[1] J. Koomey, “Estimating Total Power Consumption by<br />

Servers in the U.S. and the World”, A report by the Lawrence<br />

Berkeley National Laboratory, February 2007, see http://<br />

enterprise.amd.com/Downloads/svrpwrusecompletefinal.pdf.<br />

[2] E. Colgan et al., “A Practical Implementation of Silicon<br />

Microchannel Coolers for High Power Chips”, IEEE<br />

Transactions on Components and Packaging Technologies, Vol.<br />

30 No. 2., June 2007, pp. 218-225.<br />

[3] T. Brunschwiler et al., “Towards Zero Emission Datacenters”,<br />

IBM J. RES. & DEV., vol. 53 NO. 3 PAPER 11, 2009, see<br />

http://www.research.ibm.com/journal/rd/533/brunschwiler.pdf<br />

[4] H. Hamann et al., “Spatially-Resolved Imaging of<br />

Microprocessor Power (SIMP): Hotspots in Microprocessors”,<br />

Proc. 10th Intersociety Conf. on Thermal and Thermomechanical<br />

Phenomena in Electronics Systems ITHERM 06, 30<br />

May – 2 June, 2006, pp. 121-125.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 155<br />

ISBN: 978-2-35500-010-2


[5] P. Lee and S. Garimella, “Hot-Spot Thermal Management with<br />

Flow Modulation in a Microchannel Heat Sink”, Proc. of<br />

IMECE2005 ASME, 5 – 11 November, 2005, Orlando, pp. 643-<br />

647.<br />

7-9 October 2009, Leuven, Belgium<br />

[6] T. Brunschwiler et al., “Hotspot-Optimized Interlayer Cooling<br />

in Vertically Integrated Packages”, Proc. MRS Fall Meeting<br />

2008, 1 – 5 December, 2008, Orlando.<br />

[7] J. Valenzuela et al., “Cooling High Heat Flux Devices with<br />

Mikros”, Mikros Manufacturing Inc., White Paper,<br />

http://www.mikrostechnologies.com.<br />

[8] J. Schulz-Harder, “Efficient Cooling of Power Electronics”,<br />

PCIM Conference, Shanghai, 2006, pp. 208-212.<br />

[9] R. Wälchli et al., “Combined local microchannel-scale CFD<br />

modeling and global chip scale network modeling for<br />

electronics cooling design”, Int. Journal of Heat and Mass<br />

Transfer, in press.<br />

[10] T. Brunschwiler et al., “Direct Liquid-Jet Impingement Cooling<br />

with Micron-Sized Nozzle Array and Distributed Return<br />

Architecture”, Proc. ITHERM 2006, San Diego, CA, 196-203.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 156<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Optimal Channel Width Distribution of Single-Phase<br />

Microchannel Heat Sinks<br />

T. Van Oevelen 1 , F. Rogiers, M. Baelmans<br />

Katholieke Universiteit Leuven, Department of Mechanical Engineering,<br />

Celestijnenlaan 300A, bus 2421<br />

3001 Heverlee, Belgium<br />

Abstract: The channel width distribution of single-phase<br />

microchannel heat sinks is optimized based on 1D-modeling. Two<br />

objectives are regarded, i.e. minimal thermal resistance and<br />

minimal wall temperature gradient. The results differ significantly<br />

from the present status in literature due to a new parameterization.<br />

In addition the effects of axial conduction, fin width and pressure<br />

drop are investigated.<br />

Key words: microchannel, heat sink, optimal width distribution<br />

I. INTRODUCTION<br />

Single-phase microchannel heat sinks are considered as one<br />

of the most promising cooling technologies to cope with the<br />

increasing power densities in electronic chips. Hereby, a<br />

cooling fluid flows through a large set of very small, parallel<br />

channels in a heat sink mounted on the backside of the chip.<br />

This provides a high surface area density and a high<br />

convection heat transfer coefficient. As such, these systems<br />

are capable of cooling large power densities, while keeping<br />

the maximum chip temperature at an acceptable level.<br />

Since the early work of Tuckerman and Pease [12] a lot of<br />

optimization research has been conducted. Most authors used<br />

analytical methods to search for the optimal width and/or<br />

height of the microchannels ([3],[4],[5],[8],[11],[12]). Others<br />

applied numerical optimization methods for this task<br />

([2],[3],[9]), while some search for the optimum by scanning<br />

the parameter field ([6],[7]).<br />

Despite these efforts and the advantages of this cooling<br />

technique, an important drawback of microchannel heat sinks<br />

is still due to the fact that large temperature differences<br />

between inlet and outlet regions may occur. This is caused by<br />

a fluid temperature increase as it passes through the channels.<br />

This phenomenon induces temperature gradients in the heat<br />

sink and substrate, giving rise to thermal stresses.<br />

Furthermore, since the maximum temperature occurs only at<br />

the outlet of the channel, the substrate temperature in the<br />

upstream part of the channel is generally far below this<br />

maximum. As such, striving for higher wall temperatures in<br />

this upstream part close to its maximal value yields a larger<br />

effective temperature difference. This will facilitate heat<br />

transfer. Therefore not exploiting this potential can be<br />

considered as an unnecessary waste of performance.<br />

Bau [1] presented a solution to exploit this potential by<br />

introducing channels with a non-uniform cross-section. This<br />

allows the entire channel width distribution to be optimized,<br />

thereby increasing the number of degrees of freedom. It<br />

appears that a reduction of the thermal resistance by 5%<br />

compared to the uniform channels is possible. Also major<br />

reductions in temperature gradients are shown.<br />

The aim of this paper is to extend the analysis as presented<br />

by Bau by introducing a more accurate parameterization of<br />

the channel width distribution. This leads to a more detailed<br />

description of optimal channel width distributions. As<br />

objective, both minimal wall temperature gradient and<br />

minimal thermal resistance are investigated. For the latter<br />

objective, the effects of axial conduction are shown. Due to<br />

the large number of design parameters, optimization is based<br />

on numerical methods.<br />

In the next section, two thermal-hydraulic models are<br />

described: one with and one without axial conduction.<br />

Subsequently, the objective functions and parameterization<br />

are introduced. In section IV the optimization results are<br />

presented and discussed, together with a sensitivity analysis<br />

of fin width and pressure drop.<br />

II.<br />

MATHEMATICAL MODEL<br />

The physics model that is used in this paper is a onedimensional<br />

model, based upon integration of the flow and<br />

heat transfer equations with respect to the cross-section of the<br />

channels. A drawing of the channels cross-section is shown<br />

in Fig. 1. In a real heat sink, this element can be repeated as<br />

much as needed. The geometric variables of the cross-section<br />

are also depicted in Fig. 1. The length of the channels is<br />

denoted by L * . As a convention, dimensional variables are<br />

marked with a star ‘*’, while dimensionless variables are not.<br />

1 Corresponding author. Tel.: +32 16 322511; fax: +32 16 322985; email address: tijs.vanoevelen@mech.kuleuven.be<br />

This work is sponsored by the IWT, The Institute for the Promotion of Innovation by Science and Technology in Flanders, Belgium, through project SBO 60830,<br />

“HyperCool-IT”.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 157<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

For the simulation of global flow variables, the model from θ ( x)<br />

and<br />

s θ ( x)<br />

are the non-dimensional solid and fluid<br />

f<br />

Bau is used, assuming fully developed laminar flow. This<br />

temperatures; Nu ( α ) is the Nusselt number;<br />

model calculates the non-dimensional mass flow rate m,<br />

α<br />

max<br />

= maxα( x)<br />

is<br />

0≤x≤1<br />

defined relative to the mass flow rate scale<br />

* * * * *<br />

m ( )<br />

0<br />

= hc Δp<br />

ν L . the maximum aspect ratio of the channel; k s is the ratio<br />

*<br />

*<br />

h is the channel’s height;<br />

c<br />

Δ p is the pressure drop over the<br />

between the conductivity of solid and fluid k * s /k * f ; A s and A f<br />

are the areas of the cross-sections of the solid and fluid<br />

*<br />

channels; ν is the kinematic viscosity of the coolant. The<br />

*<br />

region, non-dimensionalized with ( L ) 2<br />

;<br />

* * * *<br />

χ = ( k<br />

dimensionless mass flow m depends on the aspect ratio shape<br />

f<br />

L / c m<br />

) with<br />

0<br />

profile<br />

* *<br />

α ( x ) = wc ( x) h c<br />

along the channel:<br />

c * the fluid heat capacity. The parameter χ is inverse<br />

1<br />

−1<br />

*<br />

proportional to<br />

⎛ 1 (1 + α)²<br />

⎞<br />

Δ p .<br />

m = ⎜ ( ) ⎟<br />

8∫ Po α dx<br />

(1)<br />

³<br />

The three terms in (3) represent respectively the heat<br />

⎝ α<br />

0 ⎠<br />

source, the convective heat transfer from solid wall to the<br />

*<br />

Herein is Po (α ) the Poiseuille number and x = x * L is the coolant and axial conductive heat transfer in the solid wall.<br />

In these equations –using<br />

*'' * *<br />

Q s<br />

hc<br />

k III. OPTIMIZATION PROCEDURE<br />

f<br />

The shape of the channels is optimized with respect to two<br />

dimensionless axial coordinate. For the determination of the<br />

Poiseuille number, following correlation for fully developed<br />

flow is used [10] ( 0 ≤ α ≤1):<br />

Similarly, the three terms in (4) represent convective heat<br />

transfer from solid to coolant, the axial conductive heat<br />

transfer in the coolant and the capacitive heating of the fluid.<br />

2<br />

3<br />

Po ( α ) = 96(1 −1.3553α<br />

+ 1.9467α<br />

−1.7012α<br />

(2)<br />

A constant heat flux correlation for Nu ( α ) is used in the<br />

4<br />

5<br />

+ 0.9564α<br />

− 0.2537α<br />

).<br />

assumption of fully developed flow with three-wall heating<br />

The simulation of the axial temperature profiles introduces [10] ( 0 ≤ α ≤1):<br />

2<br />

3<br />

some differences with the model from Bau. In this model, Nu ( α ) = 8.235(1 −1.883α<br />

+ 3.767α<br />

− 5.814α<br />

(5)<br />

axial temperature distribution is calculated for both the fluid<br />

4 5<br />

+ 5.361α<br />

− 2α<br />

).<br />

and the solid wall region including axial conduction. The set of modeling equations (3)-(4) is solved numerically<br />

Therefore, a set of 2 dimensionless heat transfer equations – using finite volume discretization with appropriate boundary<br />

one for each region– is introduced.<br />

conditions. The fluid inlet has a specified temperature. The<br />

The equation describing heat transfer in the solid wall is:<br />

Nu<br />

( )<br />

( α )( 1+<br />

α )( 2 + α ) fluid outlet and both ends of the solid wall have adiabatic<br />

d ⎛ dθ<br />

s ⎞<br />

α<br />

max<br />

+ w<br />

f<br />

−<br />

⋅ ( θ<br />

s<br />

−θ<br />

f<br />

) + ⎜ks<br />

As<br />

⎟ = 0 boundary conditions.<br />

2α<br />

dx ⎝ dx ⎠<br />

If the axial conduction terms in (3)-(4) are ignored, the<br />

(3) modeling equations of Bau are retrieved. It is recalled in (6):<br />

The heat transfer in the fluid region is described by:<br />

Nu( α )( 1 + α )( 2 + α ) ⎛ 2α<br />

χ ⎞<br />

θ<br />

d ⎛ dθ<br />

f ⎞ m dθ<br />

s<br />

( x)<br />

= ( α + w ) ⎜<br />

+ ⋅ x⎟ (6)<br />

max f<br />

f<br />

⋅ ( θ<br />

s<br />

−θ<br />

f<br />

) + ⎜ A ⎟<br />

f<br />

= ⋅ (4)<br />

⎝ Nu( α )( 1+<br />

α )( 2 + α ) m ⎠<br />

2α<br />

dx<br />

dx<br />

⎝ ⎠ χ dx<br />

objectives. The first objective J consists of the<br />

1<br />

minimization of the wall temperature gradient. This is<br />

formulated as the Euclidian norm of the local wall<br />

temperature gradient dθ s<br />

(x)<br />

:<br />

dx<br />

2<br />

⎛ ⎞<br />

= 1 dθ<br />

s<br />

J ∫ ⎜ ⎟ ( ) 0<br />

⎝ dx<br />

dx<br />

α x ⎠<br />

(7)<br />

The second objective J that is regarded is the<br />

2<br />

minimization of the thermal resistance, which is defined as<br />

the maximum dimensionless wall temperature along the<br />

channel:<br />

J = min maxθ<br />

( )<br />

s<br />

α ( x)<br />

x<br />

(8)<br />

The primary variable describing the shape of the channels<br />

is the aspect ratio profile α (x), which is a dimensionless<br />

representation of the channel width. This shape profile is in<br />

fact a continuous function defined over the entire length of<br />

the channel. Conventional optimization routines are only<br />

suitable for a finite number of variables. Therefore a<br />

Fig. 1: Schematic of the channels cross-section<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 158<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

parameterization of the shape is necessary. This is realized<br />

by defining the shape on a number of equidistant locations<br />

along the whole channel length. A piece-wise linear shape<br />

profile is then assumed between these points. Thus,<br />

intermediate values can be obtained by interpolation.<br />

This method has the advantages that it resolves the profile<br />

at a high spatial accuracy and that every variable has only<br />

local influence on the shape. This is in contrast with the<br />

parameterization that was used in [1], where the shape is<br />

represented by a smooth quadratic polynomial function. The<br />

coefficients of this polynomial were used as variables subject<br />

to optimization. Every variable has therefore an influence<br />

along the whole channel length. As we will show later on, the<br />

thermal resistance minimization shows a non-smooth optimal<br />

shape profile that cannot be captured by the parameterization<br />

by [1].<br />

The optimization problems (7) and (8) are solved<br />

numerically using a conjugate gradient method.<br />

IV.<br />

RESULTS AND DISCUSSION<br />

A. Minimal wall temperature gradient – minimization of J<br />

1<br />

Optimization of the microchannel heat sink is performed on<br />

an illustrative case, using a channel height of 600 µm,<br />

minimal fin width of 30 µm and chip length of 1 cm. The<br />

pressure drop is fixed at 0.6 bar and water was used as a<br />

coolant. Material properties are evaluated at 20 °C. This<br />

gives rise to the following model parameters: w = 0. 05 and<br />

f<br />

−6<br />

χ = 1.851⋅10<br />

. The simplified model without axial<br />

conduction (6) is used since in the optimum there is nearly no<br />

axial temperature gradient and thus negligible axial<br />

conduction. In addition this reduces the required<br />

computational effort.<br />

When optimizing with respect to a minimal temperature<br />

gradient, using objective function J 1<br />

, it is observed that a<br />

unique solution does not exist in particular circumstances.<br />

This occurs when the resulting shape has uniform wall<br />

temperature, which is the absolute optimum. We will refer to<br />

this later.<br />

A similar observation was encountered in [1]. To<br />

circumvent the non-uniqueness of the optimization problem,<br />

Bau made a linear combination with the thermal resistance<br />

objective function using a weighting factor. This<br />

methodology resulted in a well-posed optimization problem,<br />

but no justification on the choice of the weighting factor was<br />

made.<br />

In order to keep the distinction between the two objectives,<br />

we perform the optimization in another way. In our research,<br />

the inlet width and its corresponding aspect ratio α are set to<br />

0<br />

a fixed value. Since this value is directly related to the<br />

resulting wall temperature, the optimal solution becomes<br />

unique.<br />

The results of this optimization are shown for 2 values of<br />

the inlet aspect ratio α in Fig. 2. The top curves of the figure<br />

0<br />

show the dimensionless width distribution α (x), the bottom<br />

curves show the dimensionless wall θ<br />

s<br />

( x)<br />

and fluid θ<br />

f<br />

( x)<br />

temperature profiles. These results reveal that it is possible to<br />

reduce the temperature gradient to zero when the inlet width<br />

is broad enough (e.g. corresponding to α = 0. 0<br />

20 for this case,<br />

indicated with solid lines). This is possible because the<br />

increase of the capacitive thermal resistance with x:<br />

⎛ χ ⎞<br />

Rcap<br />

( x)<br />

= ( α + w<br />

f<br />

) ⎜ ⋅ x⎟ , (9)<br />

max<br />

⎝ m ⎠<br />

is then fully compensated by the convective thermal<br />

resistance:<br />

⎛ 2α<br />

( )<br />

( )( )( ) ⎟ ⎞<br />

R ( x)<br />

= α<br />

max<br />

+ w ⎜<br />

. (10)<br />

conv<br />

f<br />

⎝ Nu α 1+<br />

α 2 + α ⎠<br />

Therefore R conv<br />

(x)<br />

must be a decreasing function.<br />

A special property of the demoninator Nu ( α )( 1+ α )( 2 + α )<br />

was furthermore observed. For 0 ≤ α ≤ 0. 4 , this group is<br />

almost constant: 16.23±0.24. From (6), (9) and (10) it can<br />

then be seen that the optimal shape profile α (x)<br />

is a linear<br />

decreasing function. Indeed since R cap<br />

(x)<br />

is a linear<br />

increasing function, R conv<br />

(x)<br />

must be a linear decreasing<br />

function with the opposite slope to result in a uniform wall<br />

temperature. This is found from (6) with θ<br />

s( x) = θ . From the<br />

s<br />

aforementioned property of Nu ( α )( 1+ α )( 2 + α ) and (10), it<br />

follows that α (x)<br />

decreases linearly with x.<br />

However, a uniform wall temperature cannot be obtained<br />

for channels with too small inlets (e.g. α = 0. 0<br />

16 for this case,<br />

indicated with dot-dashed lines). With small inlets there is<br />

too much restriction of the flow, resulting in a low mass flow<br />

rate. Therefore R cap<br />

(x)<br />

would become very high, making it<br />

impossible to compensate with R conv<br />

(x)<br />

. The increased slope<br />

Fig. 2: Optimal channel shape and wall temperature profiles w.r.t.<br />

objective J for 2 values of<br />

1<br />

α .<br />

0<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 159<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

of the fluid temperature profile in Fig. 2 is due to a reduced<br />

mass flow rate.<br />

B. Minimal thermal resistance – minimization of J<br />

2<br />

The same case as in A was considered for optimizing the<br />

thermal resistance, using objective function J . When axial<br />

2<br />

conduction was modeled, the following parameters were<br />

used: heat conductivity ratio between solid and fluid<br />

k<br />

s<br />

= 241.4 , ratio of total height to channel height h<br />

t<br />

= 1. 5 and<br />

ratio of length to channel height L = 16. 7 . Fig. 3 shows the<br />

results for three different optimization settings. In the first<br />

setting, a uniform channel is optimized to allow comparison.<br />

The second and third setting concern the optimization of a<br />

non-uniform channel using respectively a model without and<br />

with axial conduction. The top of the figure shows the<br />

optimal width distribution in each of the three cases; the<br />

bottom shows the accompanying wall temperature profiles.<br />

Unexpectedly, the optimal non-uniform channel appears to<br />

have two distinct parts. The first part of the channel has a<br />

uniform width and thus an increasing wall temperature. The<br />

second part is similar to the profile observed in subsection A:<br />

the width is decreasing to keep the wall temperature at a<br />

uniform level. Again the decrease in width towards the end<br />

of the channel improves the local convective heat transfer,<br />

thereby preventing the maximal wall temperature from<br />

getting too high. At first sight, it seems suboptimal that this<br />

trend does not fully continue towards the beginning of the<br />

channel. Not all potential of pushing the wall temperature<br />

against the maximum is being used. However would this<br />

trend continue, the inlet width of the channel would become<br />

very broad. This would make α max<br />

and thus the total width<br />

very large, thereby increasing the amount of heat that each<br />

single channel of the cooler has to get rid of. Equation (6)<br />

incorporates this effect.<br />

The first part of the resulting profile therefore stems from a<br />

trade-off between enlarging α to allow more mass flow and<br />

reducing α to reduce the heat load of a single channel. It is<br />

logical that this results in a part with uniform width. Indeed,<br />

only the maximal width has an influence on the channel<br />

density. This is similar to what happens in the second part.<br />

Here, the trade-off is between a large α to increase mass flow<br />

and a low α to increase the convective heat transfer.<br />

In the last optimization setting, the effect of axial<br />

conduction is investigated. This introduced only a minor<br />

difference in the channel shape. At the breakpoint between<br />

the two lines, a truncated peak is added to compensate for the<br />

flattening of the wall temperature profile due to the axial<br />

conduction.<br />

Table I presents a comparison between the three obtained<br />

shapes in terms of their thermal resistance. The table also<br />

shows the inlet and outlet widths of the optimized channel in<br />

each of the cases. It is obvious that using the most accurate<br />

model including axial conduction and the largest number of<br />

degrees of freedom (for a non-uniform channel) gives the best<br />

result. We see however that the improvement compared to<br />

the model without axial conduction is negligible. Therefore,<br />

taking into account practical considerations such as<br />

computational effort etc., leads to the conclusion that the<br />

optimization with a model without axial conduction will lead<br />

to sufficiently accurate results for technical implementation.<br />

C. Parameter sensitivity analysis<br />

The main model parameters determining the solution are<br />

the dimensionless quantities w and χ . The influence of both<br />

f<br />

parameters on the optimal result was investigated by scanning<br />

the parameter field, for both objectives J and<br />

1<br />

J .<br />

2<br />

One can see from Fig. 1 that w has an influence on the<br />

f<br />

density of the channels only. This characterizes the amount<br />

of heat to be cooled by a single channel. An increase in w<br />

f<br />

will result in a larger total width, thereby reducing the density<br />

of the channels and a corresponding increase in heat transfer<br />

to each individual channel.<br />

For objective J 1<br />

, we observe that<br />

w does not have any<br />

f<br />

, at least when the inlet<br />

effect on the width distribution α (x)<br />

width is wide enough to allow a uniform wall temperature<br />

profile. It can be easily seen from (6) that w f<br />

does not<br />

influence the temperature gradient if this is already zero.<br />

There is off course an effect on the actual level of the wall<br />

temperature, which increases when w increases.<br />

f<br />

Fig. 3: Optimal channel shape and wall temperature profiles w.r.t.<br />

objective J for 3 different optimization settings.<br />

2<br />

TABLE I<br />

COMPARISON OF 3 SHAPES OPTIMIZED FOR THERMAL RESISTANCE<br />

Optimization setting α0<br />

α<br />

e θ max (10 -3 )<br />

s<br />

∆ (10 -3 ) / %<br />

Uniform channel 0.1253 0.1253 4.806 - / -<br />

No axial conduction 0.1313 0.0979 4.511 0.295 / 6.1 %<br />

Axial conduction 0.1319 0.0980 4.508 0.298 / 6.2 %<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 160<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 4: The effect of<br />

w on the optimal channel shape w.r.t.<br />

f<br />

J .<br />

2<br />

The effect on the result of objective J is more<br />

2<br />

complicated, as can be seen from Fig. 4. An increase of w<br />

f<br />

has the effect of increasing the channel’s heat load. This<br />

shifts the balance between mass flow and total width as<br />

already explained in subsection B, towards a larger width<br />

distribution. Doing so, the increase in thermal resistance is<br />

tempered.<br />

The effect of the dimensionless quantity χ can be most<br />

easily understood by recalling that it is inverse proportional to<br />

the frictional pressure drop over the channels. We can<br />

therefore understand that an increase in χ will have a<br />

negative effect on the mass flow rate through the channels.<br />

This will furthermore increase the slope of the capacitive<br />

thermal resistance R cap<br />

(x)<br />

, which can also be seen from (9).<br />

Fig. 5 shows the effect of χ on the optimization problem<br />

J . This figure again shows that<br />

1<br />

J 1<br />

has a dual behaviour.<br />

The optimization was performed for a number of values for χ<br />

with the fixed inlet width of α = 0. 0<br />

2 . For low values of χ ,<br />

*<br />

when Δ p is high enough, it is possible to obtain shapes with<br />

a uniform wall temperature. As shown earlier, this gives rise<br />

to a linear decreasing width profile α (x). The increase in the<br />

slope of R cap<br />

(x)<br />

is counteracted by an increase in (negative)<br />

slope from α (x), to keep the wall temperature uniform.<br />

These cases are drawn with solid lines.<br />

*<br />

However when χ becomes too high –or equivalently Δ p<br />

too low– the mass flow rate is so low that a uniform wall<br />

temperature can no longer be achieved. This has the same<br />

reasoning as before when we showed that a uniform wall<br />

temperature profile is not possible for too small inlets. These<br />

cases are drawn with dashed lines. In this regime, the channel<br />

width increases with χ , so that m increases to compensate the<br />

increase in χ .<br />

Fig. 6 shows that increasing values of χ , i.e. decreasing<br />

pressure drop, result in broader width profiles. This measure<br />

serves as a way to temper the decrease in mass flow rate by<br />

using larger channels, which have less friction.<br />

V. CONCLUSION<br />

A 1D-model for the simulation of wall and fluid<br />

temperatures in a microchannel heat sink was presented,<br />

including axial conduction. The width distribution of this<br />

microchannel was optimized for two objectives, i.e. minimal<br />

wall temperature gradient ( J 1<br />

) and minimal thermal resistance<br />

( J ).<br />

2<br />

With the wall temperature gradient objective it was found<br />

that a fully uniform wall temperature profile is achievable if<br />

the inlet width is large enough. The results for the thermal<br />

resistance objective are found to consist of two parts: one<br />

representing a bound on the aspect ratio, the other<br />

representing a bound on the wall temperature. By using the<br />

full model (3)-(4) in the optimization, the best performance<br />

was obtained, with an improvement of 6.2% compared to an<br />

optimal uniform channel.<br />

Fig. 5: The effect of χ on the optimal channel w.r.t. J .<br />

1<br />

(Low χ : solid line / High χ : dashed line)<br />

Fig. 6: The effect of χ on the optimal channel shape w.r.t.<br />

J .<br />

2<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 161<br />

ISBN: 978-2-35500-010-2


In contrast to earlier results presented in [1], the new<br />

parameterization reveals non-smooth results. Furthermore,<br />

sensitivities of these optimization results with respect to the<br />

fin width w f<br />

and inverse pressure drop number χ were<br />

discussed.<br />

REFERENCES<br />

[1] H. H. Bau, “Optimization of conduits’ shape in micro heat<br />

exchangers,” Int. Journal of Heat and Mass Transfer, vol. 41, pp.<br />

2717-2723, 1998.<br />

[2] A. Husain, K.-Y. Kim, “Optimization of a microchannel heat sink<br />

with temperature dependent fluid properties,” Applied Thermal<br />

Engineering, vol. 28, pp. 1101-1107, 2008.<br />

[3] S. J. Kim, “Methods for thermal optimization of microchannel<br />

heat sinks,” Heat Transfer Engineering, vol. 25, no. 1, pp. 37-49,<br />

2004.<br />

[4] R. W. Knight, J. S. Goodling, and D. J. Hall, “Optimal thermal<br />

design of forced convection heat sinks-analytical,” Journal of<br />

Electronic Packaging, vol. 113, pp. 313-321, 1991.<br />

[5] R. W. Knight, D. J. Hall, J. S. Goodling, and R. C. Jaeger, “Heat<br />

sink optimization with application to microchannels,” IEEE<br />

Trans. on Components, Hybrids and Manufacturing Technology,<br />

vol. 15, no. 5, pp. 832-842, October 1992.<br />

[6] H.-S. Kou, J.-J. Lee, and C.-W. Chen, “Optimum thermal<br />

performance of microchannel heat sink by adjusting channel<br />

width and height,” Int. Communications in Heat and Mass<br />

Transfer, vol. 35, pp. 577-582, 2008.<br />

[7] J. Li, G. P. Peterson, “Geometric optimization of a micro heat sink<br />

with liquid flow,” IEEE Trans. on Components and Packaging<br />

Technologies, vol. 29, no. 1, March 2006.<br />

[8] D. Liu, S. V. Garimella, “Analysis and optimization of the<br />

thermal performance of microchannel heat sinks,” Int. Journal for<br />

Numerical Methods in Heat & Fluid Flow, vol. 15, no. 1, pp. 7-<br />

26, 2005.<br />

[9] J. H. Ryu, D. H. Choi, and S. J. Kim, “Numerical optimization of<br />

the thermal performance of a microchannel heat sink,” Int.<br />

Journal of Heat and Mass Transfer, vol. 45, pp. 2823-2827, 2002.<br />

[10] R. K. Shah, A. L. London, “Laminar flow forced convection in<br />

ducts,” Advances in heat transfer, supplement 1, Academic press,<br />

1978.<br />

[11] T. Stevens, F. Rogiers, M. Baelmans, “Optimization of microchannel<br />

heat sink geometry”, Proceedings of the 13 th International<br />

Heat Transfer Conference, Sidney, Australia, August 13-18, 2006.<br />

[12] D. B. Tuckerman, R.F. W. Pease, “High-performance heat sinking<br />

for VLSI,” IEEE Electron. Device letters, vol. 2, no. 5, pp. 126-<br />

129, May 1982.<br />

7-9 October 2009, Leuven, Belgium<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 162<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Heat transfer enhancement due to pulsating flow<br />

in a microchannel heat sink<br />

T. Persoons * , T. Saenen, R. Donose, M. Baelmans<br />

Katholieke Universiteit Leuven, Department of Mechanical Engineering (TME)<br />

Celestijnenlaan 300A, P.O. box 2421, 3001 Leuven, Belgium<br />

Abstract – Heat sinks with liquid forced convection in<br />

microchannels are targeted for cooling microelectronic devices<br />

with a high dissipated power density. Given the inherent<br />

stability problems associated with two-phase microchannel heat<br />

transfer, this paper investigates experimentally the potential for<br />

enhancing single-phase convection cooling rates by applying<br />

pulsating flow. To this end, a pulsator device is developed<br />

which allows independent continuous control of pulsation<br />

amplitude and frequency. For a single microchannel geometry<br />

and a range of parameters (steady and pulsating Reynolds<br />

number, Womersley number), experimental results are<br />

presented for the overall heat transfer enhancement compared<br />

to the steady flow case. Enhancement factors up to 40% are<br />

observed for the investigated parameter range (50 < Re < 400,<br />

35 < Re p < 225, 2 < Wo < 17).<br />

Key words – Pulsating flow; single-phase heat transfer<br />

enhancement; boundary layer redevelopment<br />

I. INTRODUCTION<br />

Heat exchangers with microchannels are targeted for high<br />

heat flux applications such as microelectronics cooling [1].<br />

Research attention is divided between operation in single<br />

and two-phase flow. Due to the high boiling heat transfer<br />

rates, two-phase systems are considered the most promising<br />

technique for high-end microelectronics cooling [1].<br />

Single phase flow in microchannels remains an active<br />

research area [2], also since micro scale two-phase flow is<br />

characterised by stability problems. In a microchannel (with<br />

hydraulic diameter of a few 100 μm) the Reynolds number<br />

typically ranges from 100 to 1000. In these conditions,<br />

nucleate boiling is the dominant heat transfer mode. This<br />

regime is characterised by a high wall superheat which<br />

causes rapid evaporation and bubble growth after nucleation.<br />

The sudden volume expansion disturbs the microchannel<br />

flow and may cause flow reversal [3].<br />

Different regimes have been identified in two-phase<br />

microchannel flow using water and other working media<br />

[4,5]. Depending on the heat and mass flux conditions,<br />

irregular transitions occur between single-phase liquid and<br />

two-phase liquid/vapour flow in various modes (e.g. bubble,<br />

slug, annular flow) and pure vapour flow (i.e. dry-out),<br />

causing sudden excessive peak wall temperatures [4].<br />

These studies [3-5] illustrate the stability problems in twophase<br />

flow in microchannels, even when applying an ideal<br />

uniform heating load. In reality, high-end microelectronics<br />

are characterised by strongly non-uniform heating, which<br />

aggravates the instability and the risk for periodic dry-out<br />

and related damage to the electronics [6].<br />

Although some researchers are striving to stabilise twophase<br />

operation using flow restrictions and artificial<br />

nucleation sites [7], alternatives are also being investigated<br />

to enhance the cooling performance of single-phase systems.<br />

When superimposing pulsation on a steady channel flow,<br />

the hydrodynamic and thermal boundary layers are affected,<br />

which in turn affects the overall convective heat transfer<br />

rate. Some analytical studies of laminar pulsating flow show<br />

a frequency-dependent influence on the heat transfer<br />

compared to steady flow, yet overall the effect on the<br />

average heat transfer rate is found to be negligible [8,9].<br />

However, some numerical and experimental studies found<br />

enhancement factors of up to 11% for laminar and 9% for<br />

turbulent pulsating flow [10,11] in smooth channels.<br />

Some recent experimental heat and mass transfer studies<br />

using pulsating flow in channels with cross-stream ribbed<br />

walls report enhancement factors of 100% up to 250%<br />

compared to steady flow [12,13]. The enhancement is more<br />

pronounced in laminar compared to turbulent flow, and<br />

increases with Prandtl number [14].<br />

Impinging jets are another configuration where the effect<br />

of flow pulsation on the heat transfer enhancement has been<br />

investigated. Using synthetic jets (zero net mass flux), heat<br />

transfer rates comparable to steady impinging jets have been<br />

obtained [15-17].<br />

Given the encouraging findings in similar applications,<br />

this paper aims to determine experimentally the potential for<br />

heat transfer enhancement using pulsating flow in a<br />

microchannel heat sink in single-phase operation. This study<br />

uses a single rectangular channel to serve as a reference case<br />

for subsequent studies using pulsating flow in parallel<br />

microchannels.<br />

II. EXPERIMENTAL APPROACH<br />

Microchannel heat sink and flow loop<br />

This reference case heat sink contains a single rectangular<br />

channel milled in an aluminium base (Fig. 1). The channel is<br />

H = 1 mm deep, W = 16 mm wide and L = 32 mm long. The<br />

channel is covered by an aluminium plate with fluidic<br />

connections on either side (4 mm internal diameter).<br />

The heat sink is bonded with thermal paste (10 W/(mK))<br />

to a copper block with embedded cartridge heater (up to<br />

40 W/cm 2 ). Based on a thermocouple measurement on the<br />

block and heat sink cover, the channel wall temperature T w is<br />

estimated using a lumped resistance model.<br />

* Corresponding author: tel +32 16 322546, fax +32 16 322985, email: tim.persoons@mech.kuleuven.be<br />

Present address: Mechanical Engineering dept., Parsons Building, Trinity College, Dublin 2, Ireland (tim.persoons@tcd.ie)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 163<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

(a) (b) (c) (b) (a)<br />

Fig. 1. Microchannel heat sink ((1) aluminium cover plate with fluidic<br />

connections, (2) aluminium channel plate, (3) insulated heater block).<br />

Pulsator<br />

Microchannel<br />

heat sink<br />

p,T<br />

p,T<br />

Fig. 3. Pulsator for generating pulsating flow component with adjustable<br />

amplitude and frequency; (a) manifolds with check valves, (b) two pumping<br />

chambers with opposite phase, (c) piezoceramic actuator disk.<br />

Cooler<br />

Mass flow<br />

meter<br />

Pump<br />

Fig. 2. Microchannel heat sink test facility with pulsating flow device.<br />

Inlet and outlets with pressure and temperature taps are<br />

connected to a flow loop, driven by a variable speed gear<br />

pump (Fig. 2). A Coriolis flow meter (Bronkhorst CORI-<br />

FLOW, 50 kg/h) is used as mass flow measurement. The<br />

water temperature is kept constant during testing using a 2<br />

litre reservoir at atmospheric pressure and a fan-driven platefin<br />

heat exchanger as cooling section.<br />

Pulsating flow generator<br />

A pulsating velocity component of continuously<br />

adjustable amplitude and frequency is generated using an<br />

inline pulsator device. The pulsator consists of a miniature<br />

membrane pump with two pumping chambers in opposite<br />

phase (Fig. 3), driven by a piezoelectric bending disk<br />

actuator. Fast acting check valves ensure the oscillating flow<br />

component is restricted to the heat sink test section (see Fig.<br />

2).<br />

Its operating range covers frequencies from 0 to over<br />

1000 Hz, with maximum displacement of 10 mm 3 per stroke.<br />

At 50 Hz, this yields a pulsating velocity amplitude up to U p<br />

= 0.2 m/s (Re p ≅ 500) in this microchannel heat sink<br />

geometry.<br />

The pulsator does not yet contain a fast-response sensor to<br />

monitor the displaced volume or pressure. As such, the<br />

resulting pulsating velocity amplitude is estimated based on<br />

the applied actuator voltage, neglecting the dynamics of the<br />

inertia of accelerating fluid and pump shaft, membrane<br />

stiffness and viscous damping. A displacement sensor will<br />

be incorporated shortly however for the results in this paper,<br />

the values of Re p are likely to exhibit some overestimation at<br />

higher frequency. As such, the frequency range has been<br />

limited between 0 and 40 Hz.<br />

The Womersley number, a dimensionless frequency in<br />

pulsating channel flow, is defined as Wo = ½D h (2πf/ν) 1/2 ,<br />

where f is the pulsation frequency and ν is the kinematic<br />

viscosity. The objective of the study is to match the<br />

Womersley number range of other studies [9-11], with a<br />

typical maximum of Wo = 10. Here, a frequency range of 0<br />

to 40 Hz corresponds to a range in Wo between 0 and 17.<br />

III. DISCUSSION OF HEAT TRANSFER RESULTS<br />

The heat transfer is quantified by the heat transfer<br />

coefficient h defined as q/ΔT lm where q is the surface heat<br />

flux. The logarithmic mean temperature difference ΔT lm is<br />

defined as<br />

( Tw −To) −( Tw −Ti)<br />

Δ Tlm<br />

=<br />

(1)<br />

log (( Tw −To) ( Tw −Ti)<br />

)<br />

where T i and T o are the inlet and outlet mean fluid<br />

temperature respectively. The wall surface temperature T w is<br />

estimated based on a lumped resistance model as described<br />

above. The Nusselt number is defined as Nu = hD h /k, where<br />

k is the thermal conductivity of water at the mean fluid<br />

temperature.<br />

Steady flow<br />

Experimental heat transfer results for steady flow are<br />

presented in Fig. 4. In the Reynolds number range under<br />

investigation (50 < Re < 500), the heat sink length L (32<br />

mm) is shorter than the thermal entrance length (L < 0.04 D h<br />

Re Pr). As such, Fig. 4 also presents some existing<br />

correlations established for thermally developing flow<br />

between parallel plates [18-20]. In Fig. 4, the labels Nu q and<br />

Nu T indicate whether the correlation is valid for constant<br />

wall heat flux or temperature respectively.<br />

The heat transfer results for this heat sink are considerably<br />

higher than the parallel plate correlations. This can be<br />

attributed to the collector geometry (see Fig. 1), which does<br />

not provide a smooth transition for the flow into the channel.<br />

Furthermore, the heat is supplied to only one side of the<br />

channel, although via conduction within the heat sink walls a<br />

fraction of the heat can also be transferred into the flow from<br />

the non-heated side.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 164<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

10 2 (L/D h /(ReP r)) 1/2<br />

20<br />

15<br />

Shah & London 1978 (Nu q )<br />

Shah & London 1978 (Nu T )<br />

Stephan 1959 (Nu T )<br />

Edwards et al. 1979 (Nu T )<br />

fitted correlation (R 2 = 0.995)<br />

Nu<br />

10<br />

Shah & London 1978 (Nu q )<br />

5<br />

Shah & London 1978 (Nu T )<br />

Stephan 1959 (Nu T )<br />

Edwards et al. 1979 (Nu T )<br />

fitted correlation (R 2 = 0.995)<br />

0<br />

0 100 200 300 400 500<br />

Re<br />

Fig. 4. Heat transfer results for steady flow through the heat sink, compared<br />

to existing heat transfer correlations for developing thermal and hydraulic<br />

boundary layers between parallel plates. Circular markers are experimental<br />

results, fitted with correlation described in Eq. (2).<br />

This conjugate heat transfer problem is too complex to<br />

compare quantitatively to the simple cases of the established<br />

correlations [18-20]. Nevertheless, the same functional form<br />

of the correlation established by Edwards et al. [20] is used<br />

in Fig. 4 to fit the experimental data:<br />

−1 −2 3<br />

⎛LD<br />

⎞ ⎛ ⎛ ⎞ ⎞<br />

h<br />

LDh<br />

Nu = a+ b⎜ ⎟ 1+<br />

c<br />

⎜ ⎜ ⎟<br />

⎝Re Pr ⎠ ⎝ ⎠<br />

⎟<br />

(2)<br />

⎝ Re Pr<br />

⎠<br />

where a = 7.5, b = 0.265, c = 0.0661 (R 2 = 0.995).<br />

Figure 5 shows the same data presented differently, as a<br />

function of the dimensionless entrance length L/D h /(Re.Pr)<br />

as is typical of correlations for thermally developing flow.<br />

Pulsating flow<br />

The results for pulsating flow have been analysed as<br />

dimensionless heat transfer enhancement factors, i.e. the<br />

ratio of the increase of the averaged heat transfer coefficient<br />

in pulsating flow with respect to the steady flow case at the<br />

same steady Reynolds number Re s , or<br />

Nu<br />

p<br />

− Nus<br />

δ Nu =<br />

(3)<br />

Nus<br />

where the subscripts s and p denote steady and pulsating<br />

flow respectively. The steady heat transfer coefficient Nu s is<br />

evaluated from Re s using the correlation given in Eq. (2).<br />

Figure 6 shows the enhancement factors for a range of 50<br />

< Re (= Re s ) < 400 and 35 < Re p < 225. The results show a<br />

higher enhancement for higher pulsation amplitude, and a<br />

tendency to peak at a low steady flow rate. This is not<br />

unexpected, given the definition of the enhancement factor<br />

in Eq. (3), comparing the increase in heat transfer coefficient<br />

to the heat transfer coefficient in steady flow Nu s .<br />

Nu<br />

10 1<br />

Fig 5. Identical to Fig. 4, yet plotted as a function of dimensionless thermal<br />

entrance length.<br />

Figures 7 and 8 present the same data in a different form,<br />

as a function of the ratio of pulsating to steady flow<br />

component Re p /Re. This was found to be the best form to<br />

collapse the heat transfer enhancement factor results. For all<br />

practical purposes, the remaining scatter in the data points in<br />

Figs. 7 and 8 is considered within the uncertainty margins.<br />

For now, the main contribution to the uncertainty is in the<br />

pulsating flow magnitude, thus the value of Re p .<br />

δNu<br />

10 2 10 1 10 0<br />

0.5<br />

0.4<br />

0.3<br />

0.2<br />

0.1<br />

Re p =35<br />

Re p =60<br />

Re p =75<br />

Re p = 115<br />

Re p = 150<br />

Re p = 225<br />

0<br />

0 100 200 300 400 500<br />

Re<br />

Fig. 6. Dimensionless heat transfer enhancement for pulsating flow through<br />

the heat sink as a function of the steady flow Reynolds number Re (=Re s ).<br />

Markers indicate different values of the pulsating Reynolds number Re p .<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 165<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

0.5<br />

0.4<br />

δNu =0.18(Re p /Re) 0.7<br />

(R 2 = 0.696)<br />

0.5<br />

δNu =0.18(Re p /Re) 0.7<br />

(R 2 = 0.696)<br />

0.2<br />

δNu<br />

0.3<br />

0.2<br />

δNu<br />

0.1<br />

0.02<br />

0.1<br />

0<br />

0<br />

0.05<br />

0 0.5 1 1.5 2 2.5 3<br />

Re p /Re<br />

Fig. 7. Dimensionless heat transfer enhancement for pulsating flow through<br />

the heat sink as a function of the ratio of pulsating to steady flow<br />

component Re p /Re. Markers indicate the entire set of measurements for 35 <<br />

Re p < 225. Solid line indicates correlation in Eq. (4).<br />

The enhancement factors collapse satisfactorily when<br />

plotted versus Re p /Re. Besides the ratio Re p /Re, other<br />

dimensionless quantities and combinations have been<br />

explored to better collapse the results, e.g. dimensionless<br />

stroke length or Womersley number Wo. However none<br />

seemed to outperform the ratio Re p /Re.<br />

Figure 7 shows the following power law correlation fitted<br />

to the entire set of experimental results for pulsating flow:<br />

0.7<br />

⎛Re p ⎞<br />

δ Nu = 0.18⎜ ⎟ (R 2 = 0.696) (4)<br />

⎝ Re ⎠<br />

The above expression provides an adequate fit which at least<br />

shows the existence of a trend, however does not seem<br />

accurate enough to use as a prediction.<br />

Moreover, when plotting the same results on a nonlinear<br />

scale as in Fig. 8, a significantly different behaviour<br />

becomes apparent for a low and high ratio of pulsating to<br />

steady flow. Within the investigated range (0.09 < Re p /Re <<br />

4.4) and for increasing pulsating flow magnitude, the<br />

enhancement is first slightly negative albeit only a few<br />

percent. In this regime, pulsating flow seems to decrease<br />

cooling performance in this heat sink. Beyond Re p /Re > 0.2,<br />

the enhancement is positive and increases with Re p /Re. Only<br />

in this region does the correlation in Eq. (4) predict the<br />

experimental results with an acceptable degree of accuracy.<br />

The negligible enhancement or even slight deterioration of<br />

heat transfer for small pulsation amplitudes (Re p /Re < 0.2)<br />

reminds of findings by other authors [8,9], although the<br />

present case with an actual heat sink geometry (including<br />

conjugate heat transfer, hydraulically and thermally<br />

developing laminar flow) is difficult to compare to the cases<br />

presented in the literature.<br />

0.02<br />

0.05<br />

0 0.05 0.2 0.5 1 2 3<br />

Re p /Re<br />

Fig. 8. Identical to Fig. 7, yet with nonlinear plot scaling to reveal different<br />

behaviour at low and high pulsation magnitude Re p /Re.<br />

IV. CONCLUSIONS<br />

This paper has shown the potential for overall heat transfer<br />

rate enhancement using pulsating flow in single-phase liquid<br />

flow heat sink, for use in microelectronics cooling.<br />

This initial study uses a single rectangular channel serving<br />

as a reference case for subsequent studies of pulsating flow<br />

in parallel microchannels.<br />

Further improvements to the pulsating flow generator<br />

should significantly narrow the uncertainty margins on the<br />

parameters e.g. stroke length and pulsating Reynolds number<br />

Re p . Nevertheless by limiting the actuation frequency in this<br />

study, the results are deemed sufficiently reliable.<br />

For the investigated range (50 < Re < 400, 35 < Re p < 225,<br />

2 < Wo < 17), an enhancement factor of up to 40% is<br />

observed with respect to steady flow heat transfer at the<br />

same steady flow component.<br />

The data show a consistent trend for heat transfer<br />

enhancement as a function of the ratio of the pulsating to<br />

steady flow component Re p /Re. For small pulsation<br />

amplitude (Re p /Re < 0.2) a negligible yet slightly negative<br />

enhancement is observed. For larger pulsation amplitudes<br />

(Re p /Re > 0.2), the heat transfer enhancement increases with<br />

Re p /Re and can be predicted (yet with limited accuracy) by<br />

the power law expression in Eq. (4).<br />

ACKNOWLEDGMENTS<br />

This work is sponsored by the Institute for the promotion<br />

of Innovation by Science and Technology in Flanders (IWT),<br />

project SBO 60830 “HyperCool-IT”, as well as travel<br />

funding by Research Foundation Flanders (FWO). The<br />

authors explicitly thank Dr. Suresh V. Garimella, Benjamin<br />

J. Jones and Tannaz Harirchian from Purdue University,<br />

West Lafayette, In. for helpful discussions on this topic.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 166<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

NOMENCLATURE<br />

D h channel hydraulic diameter (m)<br />

f<br />

flow pulsation frequency (Hz)<br />

h<br />

heat transfer coefficient, q/ΔT lm (W/(m 2 K))<br />

H channel depth (m)<br />

k<br />

thermal conductivity of water (W/(mK))<br />

L channel length (m)<br />

Nu Nusselt number, h D h /k<br />

δNu relative heat transfer enhancement, (Nu p -Nu s )/Nu s<br />

Pr Prandtl number, ν/α<br />

q surface heat flux (W/m 2 )<br />

Re Reynolds number, U D h /ν<br />

Re p pulsating Reynolds number, U p D h /ν<br />

T i water inlet mean temperature (°C)<br />

T o water outlet mean temperature (°C)<br />

T w channel wall temperature (°C)<br />

logarithmic mean temperature difference,<br />

ΔT lm<br />

( Tw −To) −( Tw −Ti)<br />

(°C)<br />

log (( T −T ) ( T −T)<br />

)<br />

w o w i<br />

U mean channel steady velocity component (m/s)<br />

U p mean channel pulsating velocity amplitude (m/s)<br />

W channel width (m)<br />

Wo Womersley number, ½D h (2πf/ν) 1/2<br />

Greek symbols<br />

α thermal diffusivity (m 2 /s)<br />

ν<br />

kinematic viscosity (m 2 /s)<br />

Subscripts<br />

p<br />

q<br />

s<br />

T<br />

pulsating flow component<br />

constant wall heat flux<br />

steady flow component<br />

constant wall temperature<br />

REFERENCES<br />

[1] B. Agostini, M. Fabbri, J.E. Park, L. Wojtan, J.R. Thome, B. Michel,<br />

“State of the art of high heat flux cooling technologies,” Heat Transfer<br />

Eng., vol. 28 (4), pp. 258-281, 2007.<br />

[2] P.S. Lee, S. V. Garimella, D. Liu, “Investigation of heat transfer in<br />

rectangular microchannels,” Int. J. Heat Mass Transfer, vol. 48 (9), pp.<br />

1688-1704, 2005.<br />

[3] S. G. Kandlikar, “Heat transfer mechanisms during flow boiling in<br />

microchannels,” J. Heat Transfer-Trans. ASME, vol. 126 (1), pp. 8-16,<br />

2004.<br />

[4] H.Y. Wu, P. Cheng, “Boiling instability in parallel silicon<br />

microchannels at different heat flux,” Int. J. Heat Mass Transfer, vol.<br />

47 (17-18), pp. 3631-3641, 2004.<br />

[5] G. Hetsroni, A. Mosyak, Z. Segal, G. Ziskind, “A uniform temperature<br />

heat sink for cooling of electronic devices,” Int. J. Heat Mass Transfer,<br />

vol. 45 (16), pp. 3275-3286, 2002.<br />

[6] G. Hetsroni, A. Mosyak, Z. Segal, “Nonuniform temperature<br />

distribution in electronic devices cooled by flow in parallel<br />

microchannels,” IEEE Trans. Components Packaging Technol., vol.<br />

24 (1), pp. 16-23, 2001.<br />

[7] S.G. Kandlikar, W.K. Kuan, D.A. Willistein, J. Borrelli, “Stabilization<br />

of flow boiling in microchannels using pressure drop elements and<br />

fabricated nucleation sites,” J. Heat Transfer-Trans. ASME, vol. 128<br />

(4), pp. 389-396, 2006.<br />

[8] T. Moschandreou, M. Zamir, “Heat transfer in a tube with pulsating<br />

flow and constant heat flux,” Int. J. Heat Mass Transfer, vol. 40 (10),<br />

pp. 2461-2466, 1997.<br />

[9] H.N. Hemida, M.N. Sabry, A. Abdel-Rahim, H. Mansour, “Theoretical<br />

analysis of heat transfer in laminar pulsating flow,” Int. J. Heat Mass<br />

Transfer, vol. 45 (8), pp. 1767-1780, 2002.<br />

[10] O.I. Craciunescu, S.T. Clegg, “Pulsatile blood flow effects on<br />

temperature distribution and heat transfer in rigid vessels,” J. Biomech.<br />

Eng.-Trans. ASME, vol. 123 (5), pp. 500-505, 2001.<br />

[11] E.A.M. Elshafei, M.S. Mohamed, H. Mansour, M. Sakr,<br />

“Experimental study of heat transfer in pulsating turbulent flow in a<br />

pipe,” Int. J. Heat Fluid Flow, vol. 29 (4), pp. 1029-1038, 2008.<br />

[12] T. Nishimura, N. Oka, Y. Yoshinaka, K. Kunitsugu, “Influence of<br />

imposed oscillatory frequency on mass transfer enhancement of<br />

grooved channels for pulsatile flow,” Int. J. Heat Mass Transfer, vol.<br />

43 (13), pp. 2365-2374, 2000.<br />

[13] B. Olayiwola, P. Walzel, “Cross-flow transport and heat transfer<br />

enhancement in laminar pulsed flow,” Chem. Eng. Proc., vol. 47 (5),<br />

pp. 929-937, 2008.<br />

[14] B. Olayiwola, P. Walzel, “Experimental investigation of the effects of<br />

fluid properties and geometry on forced convection in finned ducts<br />

with flow pulsation,” J. Heat Transfer-Trans. ASME, vol. 131 (5),<br />

051701, 2009.<br />

[15] A. Pavlova, M. Amitay, “Electronic cooling using synthetic jet<br />

impingement,” J. Heat Transfer-Trans. ASME, vol. 128 (9), pp. 897-<br />

907, 2006.<br />

[16] P. Valiorgue, T. Persoons, A. McGuinn, D.B. Murray, “Heat transfer<br />

mechanisms in an impinging synthetic jet for a small jet-to-surface<br />

spacing,” Exp. Therm. Fluid Sci., vol. 33 (4), pp. 597-603, 2009.<br />

[17] T. Persoons, T.S. O'Donovan, D.B. Murray, “Heat transfer in adjacent<br />

interacting impinging synthetic jets,” Proc. ASME Summer Heat<br />

Transfer Conf., San Francisco (CA), 19-23 Jul 2009<br />

[18] R.K. Shah, A.L. London, “Laminar flow forced convection in ducts”,<br />

Suppl. to Adv. Heat Transfer, New York: Academic Press, 1978.<br />

[19] K. Stephan, “Wärmeübertragang und Druckabfall beinichtausgebildeter<br />

Laminarströmung in Röhren und in ebenen Spalten”, Chem.<br />

Ing. Tech., 31, 773-778, 1959.<br />

[20] D.K. Edwards, V.E. Denny, A.F. Mills, Transfer processes. 2nd Ed.<br />

Washington, DC: Hemisphere, 1979.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 167<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Hot Spot Targeting with a Liquid Impinging<br />

Jet Array Waterblock<br />

D. Nikolić, M. Hutchison, P.T. Sapin, A.J. Robinson<br />

Department of Mechanical & Manufacturing Engineering<br />

Trinity College Dublin<br />

Dublin 2, Ireland<br />

Abstract-Liquid cooling of electronic devices is widely<br />

accepted as the next logical step for electronics thermal<br />

management as board level air cooling becomes insufficient to<br />

meet the needs of next generation components and devices. One<br />

promising technology is liquid jet array impingement as it can<br />

achieve exceptionally high area averaged heat transfer<br />

coefficients. In this work the use of arrays of liquid impinging<br />

jets in a waterblock configuration is posed as one possible<br />

technology for on-chip hot spot targeting. A simple test case is<br />

posed and an optimization strategy is employed to distribute<br />

jets in the hot spot and outer regions differently in order to<br />

reduce the maximum temperature difference and/or the root<br />

mean squared deviation of the temperature on the chip. It is<br />

concluded that with a priori knowledge of the heat flux<br />

distribution on-chip, this method of hot spot targeting offers an<br />

uncomplicated and potentially cost effective means of bulk<br />

cooling and temperature homogenization.<br />

I. INTRODUCTION<br />

The increase in device density and clock speeds in<br />

electronic components and devices has led to escalating<br />

demand for dissipation of the waste heat generated by the<br />

active devices. In many applications the power densities far<br />

exceed the capabilities of conventional low-tech fan-finned<br />

heat sinks. This is due to several constraining issues which<br />

includes, but is not limited to, fin efficiency, fan acoustic<br />

emissions, fan power consumption and electronic<br />

packaging/miniaturization issues. A further issue is that<br />

devices operating at moderate overall power can in some<br />

cases generate local hot spots with power densities in the<br />

order of kW/cm 2 [1]. For example, Figure 1 shows a thermal<br />

image of hot spots generated on a Pentium 4 2.8GHz in a<br />

desktop PC. To obtain this image a purpose built liquid<br />

cooler was fabricated in which the CPU is cooled by IR<br />

transparent oil (Fluka 69808) flowing within a 1.0 mm<br />

channel sandwiched between the CPU and a ZnSe IR<br />

transparent window and IR images were collected with a<br />

FLIR 840 thermal imaging camera. For this case and others,<br />

if adequate thermal management is not provided, premature<br />

device failure can be expected either by direct failure of the<br />

semiconductor or more likely by progressive accumulation<br />

of thermomechanical damage and eventual cracking of<br />

interconnect structures [1].<br />

Active liquid cooling shows very good potential for<br />

electronics thermal management of next generation<br />

electronic components. In particular, microfluidic devices<br />

such as microchannels and microjets can achieve exceptional<br />

overall heat transfer coefficients with very low energy<br />

consumption [2]. A very attractive benefit of liquid cooling<br />

is that if it is deployed in large numbers such as may be<br />

required for data centres, the heat energy, now stored in a<br />

liquid opposed to air, can easily be reused (e.g. for space or<br />

process heating), which reduces the overall combined energy<br />

consumption and carbon footprint of the scenarios.<br />

As noted by Robinson [2] microjet arrays can provide<br />

exceptional temperature uniformity whereas microchannels<br />

are at a disadvantage on this point due to the singular<br />

direction of the microchannel flow which can cause large<br />

axial temperature gradients. Another beneficial aspect of<br />

impinging jets is that the jet orifices can be arranged<br />

strategically to concentrate jets in areas of high local heat<br />

flux which makes them ideal for hot spot targeting whereas<br />

microchannels are a more global cooling strategy.<br />

Fig. 1. Top: Thermal image of hot spot generated on the CPU of a<br />

conventional desktop PC. Bottom: IR transparent liquid<br />

minichannel heat sink.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 168<br />

ISBN: 978-2-35500-010-2


In this work, the Nusselt number correlation recently<br />

developed by Robinson and Schnitzler [3] is implemented to<br />

investigate the feasibility of hot spot targeting using<br />

submerged liquid jet arrays. The case under consideration is<br />

a waterblock heat sink opposed to direct liquid cooling. A<br />

waterblock-type of heat sink is preferable for many reasons<br />

since the heat sink does not need to be integrated into the<br />

electronics package which would increase the cost and<br />

complexity of the system, albeit at a disadvantage with<br />

regard to thermal performance since it will still require a<br />

thermal interface material between in and the electronic<br />

component. The waterblock alternative is also a pluggable<br />

solution making it suitable for retrofitting existing systems.<br />

II.<br />

LIQUID JET ARRAYS<br />

A. Thermal Hydraulics of Impinging Liquid Jet Arrays<br />

There are surprisingly few correlations available for<br />

predicting the heat transfer and pressure drop characteristics<br />

of liquid impinging jet arrays although some do exist for<br />

both free jets [3 4, 5, 6] and submerged jets [3, 5]. The<br />

Robinson-Schnitzler correlation for the confined submerged<br />

scenario will be used in this work as it was developed for<br />

large numbers of confined and submerged liquid jets<br />

arranged in arrays, it considers variations in jet Reynolds<br />

number, jet-to-target spacing as well as the jet-to-jet spacing<br />

and also included information about the pressure drop<br />

characteristics. The correlation is,<br />

−0.442<br />

−0.00716<br />

Nu<br />

0.46⎛<br />

⎞ ⎛ ⎞<br />

L<br />

S H<br />

= 23.39Re<br />

0.4<br />

Pr<br />

⎜<br />

⎟<br />

⎜<br />

⎟<br />

(1)<br />

d n<br />

⎝ dn<br />

⎠ ⎝ dn<br />

⎠<br />

which is valid for jet to target spacings of 2≤H/d n ≤3 and<br />

interjet spacings of 3≤S/d n ≤7. Since low hydraulic pumping<br />

power is crucial for energy efficient water cooled devices,<br />

Robinson and Schnitzler also developed a correlation for the<br />

friction factor as,<br />

229.9<br />

f = 0 .51 +<br />

(2)<br />

Re<br />

dn<br />

which agreed with a previous correlation developed in [6],<br />

albeit strictly for free jet arrays. With regard to the pressure<br />

drop and pumping power, Whelan and Robinson [7] showed<br />

that simple modifications to the inlet geometry can reduce the<br />

pressure drop without significantly affecting the heat transfer.<br />

B. Liquid Jet Array Waterblock Concept<br />

One embodiment of the liquid jet array waterblock<br />

concept is illustrated in Fig. 2. The main performance<br />

indicator of this type of waterblock cooler is that it can<br />

achieve exceptional heat transfer with very low hydraulic<br />

pumping power. Compared with other commercially<br />

available waterblocks, which almost invariably use low<br />

velocity water impinging onto miniature surface extensions<br />

machined into copper, this concept achieves the desired<br />

thermal resistance by using tens to thousands of high<br />

velocity jets which impinge on a flat copper surface. Since<br />

the main body of the waterblock is easily manufactured from<br />

plastic by injection molding, it is envisaged that this<br />

7-9 October 2009, Leuven, Belgium<br />

waterblock will be very inexpensive compared with the<br />

competition which require CNC micromachining of complex<br />

features which adversely affects overall cost. For a target<br />

thermal resistance, increasing the number of jets, i.e. by<br />

decreasing the jet orifice diameter, causes the pumping ower<br />

to continually decrease. However, there are practical limits<br />

such as jet clogging and manufacturability which limit the<br />

minimum size of the jets.<br />

The waterblock illustrated in Fig. 2 operates as follows;<br />

water enters the foremost port shown in Fig. 2 and flows<br />

through a section of internal tubing into a lower plenum. The<br />

water stagnates in this plenum section and is then forced<br />

across the jet nozzle plate through which many miniature to<br />

micro-sized jet orifices are situated. The jets impinge upon<br />

the topside of a copper plate whose lower face is in thermal<br />

communication with the electronic component via a layer of<br />

thermal interface material (not shown). Subsequent to<br />

impinging on the surface the jets are forces laterally outward<br />

and exit at four channels at the outer edges of the<br />

impingement zone. The water is then forced to flow upward<br />

along the side channels into an upper collection chamber and<br />

subsequently forced out of the device to a remote heat<br />

exchanger through the rear exit port shown in Fig. 2. The<br />

waterblock housing was designed in a 3-D CAD package<br />

and subsequently printed using a 3D Systems InVision 3D<br />

Printer.<br />

Fig. 2. Impinging jet waterblock concept drawing.<br />

C. Prototype Waterblock Testing<br />

A simple test facility has been commissioned in order to<br />

obtain the relevant thermal hydraulic measurements for<br />

testing different waterblock design concepts. To simulate an<br />

active electronic device a copper block with exposed surface<br />

area of 28.7 mm x 28.7 (commensurate with a Pentium 4<br />

CPU) was imbedded in a block of nylon which provided<br />

insulation as well as a rigid body for mounting the<br />

waterblocks. The copper block was fitted with two cartridge<br />

heaters with a total combined power of 350W as well as<br />

three thermocouples near the upper exposed face in order to<br />

monitor the surface heat flux. These thermocouples also<br />

facilitated the extrapolation of the surface temperature.<br />

The test facility also included an instrumented flow<br />

delivery system in which the plumbing components (pumps,<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 169<br />

ISBN: 978-2-35500-010-2


hosing fittings etc.) were ‘off the shelf’ from popular<br />

electronics cooling equipment distributors. The flow loop<br />

consisted of a Laing DD12V-D5 variable speed pump which<br />

drew deionized water from a 1.5L water reservoir<br />

manufactured by Danger Den. In order to monitor the flow<br />

rate, a rotameter, with a flow range of 2-10 LPM ± 1.5% FS,<br />

was installed within the system. Two 1.5 mm diameter<br />

sheathed T-type thermocouples, coupled with Fluke54ΙΙ<br />

Thermometers (±0.3°C), were used to measure and record<br />

the water temperatures at the inlet and outlet of the water<br />

block as well as the outlet of the remote heat exchanger.<br />

Pressure taps were installed on either side of the water block<br />

to measure the pressure drop with a Digitron 2083P (0-200<br />

kPa ± {0.1% rdg + 0.1% FS}) differential pressure meter.<br />

The heat absorbed by the waterblock was dissipated to the<br />

room air by a Thermochill PA120 remote heat exchanger<br />

fitted with a Yate Loon D12SH-12 fan.<br />

7-9 October 2009, Leuven, Belgium<br />

III.<br />

A. Problem Statement<br />

HOT SPOT TARGETING<br />

The evaluate the efficacy of hot spot targeting using an<br />

impinging liquid jet array waterblock a simple test case was<br />

selected. Here a 50mm x 50mm overall surface area is<br />

chosen with one hot spot which is chosen arbitrarily, as<br />

depicted in Fig. 5. The lower face of a copper block is<br />

exposed to this heat source, representing an electronic<br />

component. The imposed heat flux at the hot spot reaches<br />

200 W/cm 2 while in other areas is uniform at 30 W/cm 2 . The<br />

heat is transferred by conduction through the copper slab,<br />

including the influence of lateral heat spreading. The<br />

opposite side of the copper block, depicted in the far right<br />

diagram in Fig. 5, is exposed to three different cooling<br />

scenarios:<br />

Case I: Cooling using some traditional method, for<br />

instance an efficient heat sink with forced convection, with<br />

constant thermal resistance of 0.1 K/W.<br />

Case II: Cooling by only one impingement jet array<br />

covering the whole copper block area.<br />

Case III: Tailored cooling by applying two impingement<br />

jets configurations: one focussed at the hot spot and the<br />

second cooling the rest of the heated surface.<br />

The performance indicators by which each method is<br />

evaluated are the maximum temperature and the temperature<br />

uniformity of the bottom surface of the copper i.e. the<br />

electronic component.<br />

Fig. 3. Test facility for water block characterization.<br />

The waterblock was fixed to the instrumented copper<br />

block with a layer of thermal grease sandwiched between<br />

them. As it is cumbersome to continuously measure the<br />

thermal resistance of the TIM layer which can change from<br />

test-to-test, a 50 μm wire diameter bare thermocouple was<br />

fastened to the lower face of the waterblock so that the<br />

average surface heat transfer could be calculated without<br />

having to subtract out the thermal resistance of the TIM<br />

Fig. 4 shows a adequate comparison of predicted thermal<br />

resistance versus experimental measurements for an array of<br />

of 0.3 mm jets with a density of 81 jets/cm 2 .<br />

Thermal resistance, o C/W<br />

0.25<br />

0.20<br />

0.15<br />

0.10<br />

0.05<br />

0.00<br />

0 1 2 3 4<br />

Rth<br />

Water flowrate, lpm<br />

Rth, predicted<br />

Fig. 4. Measured and predicted heat transfer performance.<br />

Fig. 5. The hot spot targeting problem.<br />

B. Modelling Framework<br />

The problem posed here is simply the solution to the<br />

steady 3D energy equation within the solid copper block,<br />

given as,<br />

2 2 2<br />

∂ T ∂ T ∂ T<br />

+ + = 0 (3)<br />

2 2 2<br />

∂x<br />

∂y<br />

∂z<br />

Equation 3 was solved using the finite difference technique<br />

with second order approximations of the spatial derivatives.<br />

Along the four edge boundaries adiabatic boundary<br />

conditions were applied. At the bottom boundary a<br />

distributed heat flux boundary condition was imposed,<br />

∂T<br />

− k = Qin<br />

( x,<br />

y)<br />

(4)<br />

∂z<br />

z=<br />

0<br />

where Q in is spatially distributed according to the profile<br />

illustrated in Fig. 5. On the opposite face a convective<br />

boundary condition was imposed which depended on the<br />

scenario under consideration. For Cases I and II the thermal<br />

resistance is spatially uniform so that the boundary condition<br />

is simply,<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 170<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

∂T<br />

maximum surface temperature dropping to about 80°C,<br />

− k = h[ T ( x,<br />

y,<br />

L)<br />

− T∞<br />

] (5)<br />

∂z<br />

which is acceptable, and almost doubling of the maximum<br />

z=<br />

L<br />

heat removal rate on the back face as the impinging jets are a<br />

where h can be considered an effective thermal conductance<br />

much stronger heat sink compared with the previous<br />

for Case I and the surface averaged heat transfer coefficient<br />

scenario. As such there is reduced lateral conduction and<br />

for Case II and T ∞ =25°C which is held fixed for all scenarios<br />

heat spreading within the copper as depicted in the middle<br />

for comparison purposes. For Case III the situation is<br />

right plot in Fig. 6. Even still, Figs. 6 and 7 indicate that<br />

somewhat more complex since there are two different jet<br />

there are still notable temperature non-uniformities as the<br />

configurations with different surface averaged heat transfer<br />

temperature in the outer regions is about 40°C representing a<br />

coefficients which can be expressed in the form,<br />

maximum to minimum temperature differential of<br />

∂T<br />

⎧hi<br />

[ T ( x,<br />

y,<br />

L)<br />

− T∞<br />

] inner jets<br />

− k = ⎨<br />

(6) ΔT max =T max -T min ~ 40°C.<br />

∂z<br />

z = L ⎩ho[<br />

T ( x,<br />

y,<br />

L)<br />

− T∞<br />

] outer jets<br />

where the jets are configured such that h i >h o in order to<br />

provide preferential cooling in the region of high heat flux so<br />

as to create a more uniform temperature distribution on the<br />

simulated electronic component.<br />

C. Base Case Scenario<br />

To begin, base case scenarios for the Cases I, II & III have<br />

been posed in order to gain a general feel for their<br />

performance characteristics as well as providing the starting<br />

points for a preliminary optimization strategy for minimizing<br />

the temperature non-uniformities for Cases II & III. The<br />

base case considered for Case I is a conventional heat sink<br />

with a uniform thermal resistance of 0.1 K/W. This<br />

corresponds with an effective thermal conductance of<br />

h=4000W/m 2 °C or a specific thermal resistance of<br />

R // th=2.5x10 -4 m 2 K/W, which can be considered a very high<br />

performance fan assisted heat sink. For Case II the base case<br />

was selected which populates the nozzle plate with 0.5 mm<br />

orifices with a dimensionless interjet spacing of S/d n =2.5 and<br />

jet-to-target spacing of H/d n =2.5. The total volumetric flow<br />

rate was initially selected to be 3.5 LPM which resulted in a<br />

net surface averaged heat transfer coefficient of h=21,296<br />

W/m 2 °C (R // th =4.696x10 -5 m 2 K/W). For Case III the jet size<br />

and layout was kept identical to that of Case II although two<br />

separate regions are considered. In the low heat flux the total<br />

flow rate was reduced so as to achieve the same surface<br />

averaged heat transfer coefficient as in Case II. For<br />

illustrative purposes the net flow rate through the inner hot<br />

spot region jets was increased to achieve a surface averaged<br />

heat transfer coefficient of h=62,490 W/m 2 °C (R // th<br />

=1.600x10 -5 m 2 K/W) in this region. The same net effect<br />

could have been achieved by populating the nozzle with<br />

more jets of smaller diameter though this is inconvenient<br />

with regard to a starting point for an optimization strategy.<br />

Thermal performance indicators of the three base case<br />

scenarios are illustrated in Fig. 6 and 7. On the far left of<br />

Fig. 6 as well as in Fig. 7 it is clear that the maximum<br />

temperature in the vicinity of the hotspot for the Case I<br />

cooling solution (top) approaches 170°C which far exceeds<br />

the typical maximum allowable temperature, which is of the<br />

order of 100°C. The accompanying heat flux distribution at<br />

the top surface shown in the left figure indicates strong<br />

lateral conduction within the copper block as it acts as a heat<br />

spreader since the heat sink in this case is not a strong one.<br />

For the uniform jet configuration (Case 2: middle of Fig. 6)<br />

the cooling performance is drastically improved with the<br />

Fig. 6. Thermal performance indicators of the three scenarios for cases<br />

under consideration.<br />

Temperature, o C<br />

190<br />

170<br />

150<br />

130<br />

110<br />

90<br />

70<br />

50<br />

30<br />

0 10 20 30 40 50<br />

y axis, mm<br />

1 jet base 2 jets base Fan<br />

Fig. 7. Comparison of temperature profiles through the centreline of the<br />

hot spot zone (Base cases I, II and III).<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 171<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

For base Case III the jet cooling is now concentrated at the with decreasing T max .<br />

hot spot and it is evident that not only has the maximum<br />

temperature diminished to ~60°C due to the high heat<br />

90<br />

removal rates in this region, but so also has the magnitude of<br />

80<br />

the thermal gradients at the heat source as represented by<br />

T max -T min ~ 20°C. These are both desirable outcomes with<br />

70<br />

regard to component performance and reliability.<br />

D. Optimization of Jet Cooling<br />

The next logical step is to attempt to further improve the<br />

performance of impingement jet array configurations by<br />

reducing the difference between the highest and the lowest<br />

temperature, ΔT max =T max -T min, whilst maintaining the<br />

maximal temperature, T max , under the given threshold. This<br />

should be a simple method which could distribute<br />

temperatures more uniformly along the surface. As a first<br />

approximation an uncomplicated measure of the quality of<br />

the temperature distribution was chosen to be the deviation<br />

from the average value as given by the normalized root mean<br />

square deviation (NRMSD) given as,<br />

RMSD<br />

NRMSD = (7)<br />

T − msx<br />

T min<br />

where the root mean square deviation (RMSD) is given by,<br />

2<br />

∑(<br />

T(<br />

x,<br />

y)<br />

− T )<br />

RMSD = (8)<br />

N po int s<br />

The average temperature on the surface is,<br />

x = 1, y 1<br />

∑ =<br />

T<br />

x=<br />

0, y=<br />

0<br />

( x,<br />

y)<br />

T = (9)<br />

N<br />

po int s<br />

and the number of points are,<br />

N ( N + 1)( N 1)<br />

(10)<br />

po int s<br />

=<br />

x<br />

y<br />

+<br />

Based on the abovementioned requirements, the problem<br />

can be formulated as the minimization of the NRMSD for<br />

given maximal allowed temperature and given maximal<br />

allowed temperature difference while optimizing design (d n ,<br />

s, h) and operating parameters (V H20 ). Thus, the<br />

mathematical formulation of the problem for Case II<br />

involves minimizing the NMRSD using the appropriate heat<br />

transfer correlation (Eq. 1). The design and operating<br />

parameters space (which were selected based on practical<br />

manufacturing considerations) is given by; d n Є [0.3, 1.5]<br />

mm, h/d n Є [2, 3], s/d n Є [2.2, 7], V H2O Є [0.1, 50] LPM and<br />

the constraints T max ={60, 70, 80}°C are implemented to<br />

investigate the influence of the maximum target operating<br />

temperature.<br />

The results are illustrated in Fig. 8 for a cross section<br />

through the hot spot zone. Table 1 shows the design and<br />

operating parameters for each case. Since this is a global<br />

cooling strategy i.e. the surface averaged heat transfer<br />

coefficient is uniform for each scenario, the maximum<br />

allowable temperature is achieved though the temperature<br />

profiles still show significant non-uniformity in the region of<br />

the hot spot. Some improvement in the temperature<br />

uniformity is observed for decreasing T max as evident in<br />

Table 1 where both the RMSD and ΔT max tend to decrease<br />

Temperature, o C<br />

60<br />

50<br />

40<br />

30<br />

0 10 20 30 40 50<br />

y axis, mm<br />

Tmax=60°C Tmax=70°C Tmax=80°C Base<br />

Fig. 8. Comparison of optimal and base case temperature profiles<br />

through the centreline of the hot spot zone (Case II)<br />

TABLE I<br />

Optimization results for Case II<br />

Property T max=80 0 C T max=70 0 C T max=60 0 C<br />

RMSD, 0 C 8.097 6.891 5.546<br />

ΔT max, 0 C 37.89 32.76 26.87<br />

d n, mm 0.426 0.403 0.300<br />

h/d n 2.41 2.38 2.23<br />

s/d n 2.87 2.98 3.55<br />

V H2O, LPM 2.372 4.914 8.958<br />

R // th, m 2 K/W 4.825E-5 3.288E-5 1.954E-5<br />

Q pump, W 0.0102 0.0634 0.6978<br />

In Case III the problem is formulated in a similar manner<br />

as in Case II except the design and operating parameters of<br />

the inner hot spot zone and the outer region can be varied<br />

independently. The results are presented in Fig. 9 and Table<br />

2. Comparing Figs. 8 & 9 and Tables 1 & 2 it is evident that<br />

this simple technique of modifying the jet configuration in<br />

the hot spot region improves the temperature uniformity both<br />

by decreasing the RMSD from ~ 7°C down to ~1.4°C as<br />

well as decreasing ΔT max from ~ 30°C down to ~9.5°C. Even<br />

still there exists a temperature rise in the hot spot region<br />

since T max is a constraint which occurs at the peak heat flux<br />

of the hot spot and T is used in Eq. 8. As a result, relaxing<br />

the heat transfer in the outer region is not feasible within the<br />

constraints of the optimization strategy adopted in this<br />

particular scenario. However, additional simulations were<br />

performed whereby T=55°C and 60°C were used instead of<br />

T in Eq. 8. The results are presented in Fig. 10 and 11 for<br />

the case of T max =60°C. It is evident that for these two cases<br />

the surface averaged temperature increases as expected.<br />

Nevertheless, the temperature uniformity, quantified here in<br />

terms ΔT max and RMSD, tends to improve, in particular for<br />

the 55°C case. For the 60°C case the RMSD tends to worsen<br />

as a result of the depression in the temperature distribution at<br />

the edge of the hotspot.<br />

The temperature depressions occur since the assumed hot<br />

spot is a piece-wise continuous function increasing from<br />

30W/cm 2 in the outer region to 200W/cm 2 at the peak of the<br />

hot spot. At the hot spot there is a step change (increase) in<br />

the surface averaged heat transfer coefficient on the opposite<br />

face of the copper block which causes local minima to occur<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 172<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

in the temperature distribution which in turn induces lateral<br />

(Case III).<br />

heat flow within the copper slab. Since the specific thermal<br />

70<br />

resistance in the hot spot zone is largely determined by the<br />

requirement that T max =60°C and does not vary considerably<br />

60<br />

for each scenario in Fig. 10, decreasing the specific thermal<br />

50<br />

resistance in the low heat flux region, i.e. increasing the<br />

40<br />

averaged surface temperature, causes the local minima to<br />

become progressively more pronounced. This occurs to the<br />

30<br />

point that the RMSD tends to be largest for the highest<br />

20<br />

averaged surface temperature even though ΔT max is lowest.<br />

90<br />

80<br />

Temperature, o C<br />

10<br />

0<br />

Base case Ṫ=Actual Ṫ=55°C Ṫ=60°C<br />

4.00<br />

3.50<br />

3.00<br />

2.50<br />

2.00<br />

1.50<br />

1.00<br />

0.50<br />

0.00<br />

RMSD, o C<br />

Temperature, o C<br />

70<br />

60<br />

50<br />

40<br />

30<br />

0 10 20 30 40 50<br />

y axis, mm<br />

Tmax=60°C Tmax=70°C Tmax=80°C Base<br />

Fig. 9. Comparison of optimal and base case temperature profiles through the<br />

centreline of the hot spot zone (Case III).<br />

Temperature, o C<br />

TABLE II<br />

Optimization results for Case III<br />

Property T max=80 0 C T max=70 0 C T max=60 0 C<br />

RMSD, 0 C 1.446 1.387 1.304<br />

ΔT max, 0 C 9.84 9.48 8.97<br />

Inner Jets<br />

d n, mm 1.176 0.422 0.306<br />

h/d n 3.00 2.41 2.23<br />

s/d n 3.23 2.84 3.482<br />

Q pump, W 0.1445 0.0803 0.5305<br />

R // th, m 2 K/W 2.738E-5 2.042E-5 1.355E-5<br />

Outer Jets<br />

d n, mm 0.795 0.703 0.668<br />

h/d n 2.31 2.64 2.73<br />

s/d n 2.467 2.56 2.448<br />

Q pump, W 0.1445 0.0002 0.0007<br />

R // th, m 2 K/W 1.568E-4 1.237E-4 9.095E-5<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

Taverage ΔTmax RMSD<br />

Fig. 11. Performance indicators for different average surface<br />

temperatures<br />

IV.<br />

CONCLUSIONS<br />

An uncomplicated technique of using impinging jet arrays<br />

of different configuration within a waterblock has been<br />

shown to predict improvement in the temperature uniformity<br />

of an electronic component with a single hot spot. Future<br />

work should involve the characterizing hot spots for real<br />

devices, perhaps using the IR thermography techniques.<br />

ACKNOWLEDGMENT<br />

The authors acknowledge Roger Kempers (Alcatel-<br />

Lucent) and John Mullins (Bell Labs Ireland) for rapid<br />

prototyping the waterblocks.<br />

REFERENCES<br />

[1] DTI Global Watch Mission Report, “Developments and trends in<br />

thermal management technologies - a mission to the USA,”<br />

December 2006.<br />

[2] A. J. Robinson, “A Thermal-Hydraulic Comparison of Liquid<br />

Microchannel and Impinging Liquid Jet Array Heat Sinks for High<br />

Power Electronics Cooling,” IEEE Transactions on Components<br />

and Packaging Technologies, in press.<br />

[3] A.J. Robinson, E. Schnitzler, "An Experimental Investigation of<br />

Free and Submerged Liquid Miniature Jet Array Impingement Heat<br />

Transfer", Experimental Thermal and Fluid Science, vol. 32, pp. 1-<br />

13, 2007.<br />

[4] Y. Pan, B.W. Webb, “Heat transfer characteristics of arrays of freesurface<br />

liquid jets,” Journal of Heat Transfer, vol. 117, pp. 878-883,<br />

1995.<br />

[5] D.J. Womac, F.P. Incropera, S. Ramadhyani, “Correlating equations<br />

for impingement cooling of small heat sources with multiple<br />

circular liquid jets,” Journal of Heat Transfer, vol. 116, pp. 482-<br />

486, 1994.<br />

[6] M. Fabbri, V.K. Dhir, “Optimized heat transfer for high power<br />

electronics cooling using arrays of microjets,” Journal of Heat<br />

Transfer, vol. 127, pp. 760-769, 2005.<br />

[7] B.P. Whelan, A.J. Robinson, (2009) “Nozzle Geometry Effects in<br />

Liquid Jet Array Impingement”, Applied Thermal Engineering, 29<br />

(11-12), pp. 2211-2221.<br />

30<br />

0 10 20 30 40 50<br />

y axis, mm<br />

T=T T=55°C T=60°C Base<br />

Fig. 10. Temperature profiles through the centreline of the hot spot zone<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 173<br />

ISBN: 978-2-35500-010-2


©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 174<br />

ISBN: 978-2-35500-010-2


©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 175<br />

ISBN: 978-2-35500-010-2


©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 176<br />

ISBN: 978-2-35500-010-2


©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 177<br />

ISBN: 978-2-35500-010-2


©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 178<br />

ISBN: 978-2-35500-010-2


©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 179<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Heat transfer and film thickness measurements in a<br />

closed loop spray cooling system with R134a<br />

Eduardo Martínez-Galván, Juan Carlos Ramos, Raúl Antón<br />

TECNUN - University of Navarra<br />

Paseo de Manuel Lardizábal, 13<br />

San Sebastián, Guipúzcoa 20018 Spain.<br />

Björn Palm, Rahmatollah Khodabandeh<br />

Royal Institute of Technology, KTH, Department of Energy Technology<br />

Stockholm, Sweden.<br />

Abstract - Experimental measurements in a spray cooling test<br />

rig have been carried out for different heat fluxes in the heater<br />

and different volumetric spray fluxes of the refrigerant. Results<br />

of the thermal parameters and the sprayed refrigerant liquid<br />

film thickness over the heater are presented. The film thickness<br />

measurements have been made with a high speed camera<br />

equipped with a long distance microscope. It has been found<br />

that there is a relation between the variation of the heat<br />

transfer coefficient and the film thickness along the spray<br />

boiling curve.<br />

I. INTRODUCTION<br />

Spray cooling is a powerful heat transfer technique, due to<br />

the combined effect of forced convection and nucleate<br />

boiling, used to remove large amounts of heat keeping lower<br />

operating temperature. Spray cooling could be applied in:<br />

electronic cooling, combustion technology, to cool human<br />

skin during laser therapy, metallurgical processes, etc.<br />

Another mechanism which also combines forced<br />

convection and phase change is jet cooling. Estes and<br />

Mudawar [1] made a comparison between both these<br />

techniques and found that jet cooling produces a larger<br />

temperature gradient in the heater surface than spray cooling,<br />

because the latter disperses the fluid over the heater more<br />

uniformly than the former. They also show in their<br />

experiments that for the same flow rate the spray cooling<br />

technique achieves a larger critical heat flux (CHF) than that<br />

achieved using the jet cooling technique. Finally, they also<br />

found that the CHF had a larger dependence on sub-cooling<br />

with jets than with sprays. In jet cooling, at low sub-cooling,<br />

the great amount of vapour generated leads to separation of<br />

the liquid film.<br />

Some spray characteristics which have a large effect on<br />

the CHF are the mean droplet density, the Sauter mean<br />

diameter and the mean droplet velocity. The most efficient<br />

combination of these parameters is a large value of the mean<br />

droplet velocity with a small value of the Sauter mean<br />

diameter and the mean droplet density [2], in other words,<br />

sprays with low density, low droplet diameters and large<br />

velocity would have a high CHF.<br />

The experiments made by Estes and Mudawar [3] showed<br />

spray cooling to be more efficient at a low Weber number,<br />

which implies a light spray. Chen et al. [2] results are in<br />

agreement with the ones from Estes and Mudawar [3].<br />

However, the latter concluded that the volumetric flux is a<br />

better parameter than the droplet velocity to characterize the<br />

spray, because it takes into account the commutative effect<br />

of multiple drops impingement.<br />

Estes and Mudawar also concluded that in order to<br />

increase the CHF there are three alternatives: increasing the<br />

flow rate, increasing the sub-cooling or decreasing the drops<br />

size.<br />

There is a technique employed to measure the film<br />

thickness which uses the diffraction phenomenon through<br />

the liquid film, but the test heater must be transparent in<br />

order to measure with a laser beam from the bottom of it [4].<br />

As this technique is based in the refractive index, which is<br />

temperature dependent, and in spray cooling there is a large<br />

temperature gradient in the film, it could not be very suitable<br />

to measure the film thickness.<br />

Hsieh and Tien [5] also made film thickness<br />

measurements in the non-boiling regime for R134a coolant<br />

which ranged between 0.93 and 1.35 mm for spray mass<br />

fluxes between 1.33 and 1.4 kg/(m 2·s). They also<br />

characterized the drop velocity and velocity distribution<br />

within the spray and found that the velocity reduction<br />

through the centreline was similar to a conventional jet.<br />

Another parameter that has an important effect on spray<br />

cooling is the coolant sub-cooling. Hsieh et al. [6] made<br />

some experiments with two liquids: R134a and water. The<br />

Weber numbers (We) and the sub-cooling degree were the<br />

parameters that they analyzed for several heat fluxes. They<br />

found that We had a stronger effect over the spray cooling<br />

performance than the sub-cooling degree.<br />

In this paper, the results of thermal and film thickness<br />

measurements in a spray cooling system with refrigerant<br />

R134a are presented. This refrigerant has been selected by<br />

its high dielectric properties, because the application of this<br />

study is electronic cooling. The film thickness measurements<br />

were motivated to understand better the heat transfer<br />

mechanisms which take place in the spray cooling. The film<br />

thickness has been measured directly from images obtained<br />

by means of a high speed camera with a long distance<br />

microscope. It has been found that there is a relation between<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 180<br />

ISBN: 978-2-35500-010-2


the variation of the heat transfer coefficient and the film<br />

thickness along the spray boiling curve.<br />

II.<br />

TEST RIG DESCRIPTION<br />

Figure 1 shows a sketch of the test rig which consists of<br />

the test chamber, with the nozzle and the heater; the<br />

refrigerant flow loop; the sub-cooling and the condenser<br />

water systems.<br />

The refrigerant used was R134a, which has been sprayed<br />

over the heater (the target surface) with a nozzle<br />

manufactured by Spraying Systems Co. The model used has<br />

0.56 mm orifice diameter. The pressure drop across the spray<br />

nozzle was the parameter used to fix the volumetric flow. A<br />

pressure transducer is connected before the nozzle in order to<br />

measure the working pressure.<br />

The heater consists of a copper block heated by a square<br />

resistance heater; both have a side of 12.7 mm. In order to<br />

measure the heater temperature, five thermocouples are<br />

embedded in the copper block, at 1 mm beneath the surface.<br />

The surface temperature has been calculated assuming onedimensional<br />

heat conduction.<br />

A variac was used to supply voltage to the heater. The<br />

heat flux was calculated from the voltage, the resistance and<br />

the heater area.<br />

Figure 1. Diagram of the test rig.<br />

In order to measure the saturation condition in the<br />

chamber, one pressure transducer and two thermocouples,<br />

(one in the liquid phase and the other one in the vapour)<br />

phase, were used.<br />

A data acquisition unit HP 34970A with a multiplexor<br />

module HP 34901A were fed with the signals of the<br />

thermocouples, the pressure transducers and the refrigerant<br />

flow-meter.<br />

Although there is a sub-cooling system, it only has been<br />

used to sub-cool the refrigerant before the pump in order not<br />

to have cavitations. After the pump the coolant was heated to<br />

the saturation temperature corresponding to the chamber<br />

pressure.<br />

The liquid used in the condenser circuit was water. The<br />

water temperature was fixed with an external chiller, so as to<br />

condense all the steam generated by the heater and to keep<br />

the pressure in the chamber constant.<br />

7-9 October 2009, Leuven, Belgium<br />

In order to measure the film thickness, a high speed<br />

camera model MotionXtra HG-LE equipped with a long<br />

distance microscope was used.<br />

Figure 2 shows a photograph of the complete test rig with<br />

the high speed camera.<br />

III.<br />

Figure 2: Test rig installation.<br />

RESULTS AND DISCUSSION.<br />

A. Thermal results.<br />

It is commonly acknowledged that the spray boiling curve<br />

seems to have the same behaviour as the pool boiling curve<br />

[7]. This is to say, when the heat flux is low the heat transfer<br />

mechanism is by surface evaporation, there is no bubble<br />

generation at the heater. But as the heat flux increases,<br />

nucleation starts over the heater; this heat transfer regime is<br />

called nucleate boiling. As the heat flux increases, the<br />

number of nucleate boiling sites does as well until the CHF<br />

is reached.<br />

The results presented here are only until the CHF is<br />

reached, because after this point the heater surface<br />

temperature increases drastically and the maximum<br />

operating temperature of the resistance is 100 ºC.<br />

As was mentioned earlier the volumetric flux is the best<br />

parameter to characterize the spray density and the<br />

volumetric flow. In this paper, the volumetric spray flux<br />

(Q’’) has been defined as the ratio between volumetric flow<br />

of liquid through the nozzle and the heater area.<br />

The experiments were made at a chamber pressure of 5.77<br />

bar, corresponding to a saturation temperature of 20.3 ºC.<br />

Three different pressure drops across the nozzle have been<br />

used: 1.5, 2 and 3 bar. The corresponding volumetric spray<br />

fluxes were 0.018, 0.021 and 0.026 m 3 /m 2·s, respectively.<br />

Some parameters are going to be expressed in<br />

dimensionless form in order to compare the results with<br />

other refrigerant spray boiling curves in future work.<br />

The heat flux has been divided by the maximum heat flux<br />

that can be dissipated by the latent heat, equation (1):<br />

q"* = q"/(<br />

ρ<br />

f<br />

Q"<br />

λ)<br />

(1)<br />

where q” is the heat flux, ρ f is the liquid density and λ is the<br />

latent heat.<br />

The temperature difference between the heater surface and<br />

the sprayed liquid has been defined as shown in the next<br />

equation:<br />

Δ T = T heater<br />

−T nozzle<br />

(2)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 181<br />

ISBN: 978-2-35500-010-2


The spray boiling curves obtained in the tests are shown in<br />

Figure 3. It can be seen that the lower the volumetric spray<br />

flux, the higher the dimensionless heat flux at any given<br />

temperature difference. In order to analyze the repeatability<br />

of the measurements two of the tests have been carried out<br />

twice, one at 2 bar (Q”=0.021 m 3 /m 2·s) and the other one at 3<br />

bar (Q”=0.025-0.026 m 3 /m 2·s). This result is in concordance<br />

with the results presented in [2] and [3] concluding that a<br />

spray with a lower density is more efficient, in the sense<br />

defined by equation (1), that is, the ratio of heat flux<br />

removed by the spray to the maximum latent heat flux.<br />

Dimensionless Heat Flux<br />

0.35<br />

0.30<br />

0.25<br />

0.20<br />

0.15<br />

0.10<br />

0.05<br />

0.00<br />

Q"=0.018 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.021 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.021 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.026 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.025 (m3/(m2s))<br />

3 /m 2·s)<br />

0 5 10 15 20 25 30 35 40 45<br />

Temperature difference (ºC)<br />

Figure 3. Spray boiling curves.<br />

Although in Figure 3, the spray boiling curves at a high<br />

volumetric spray flux seems to be worse than at low<br />

volumetric flux, a higher CHF is achieved with a higher<br />

volumetric flow, as can be seen in Figure 4 and Table I.<br />

Critical Heat Flux (W/cm 2 )<br />

800<br />

700<br />

600<br />

500<br />

400<br />

300<br />

200<br />

Q"=0.026 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.025 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.021 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.021 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.018 (m3/(m2s))<br />

3 /m 2·s)<br />

Maximum heat flux<br />

7-9 October 2009, Leuven, Belgium<br />

linear tendency but its slope is lower than the slope of the<br />

maximum heat flux.<br />

Figure 5 shows the efficiency as a function of the Weber<br />

number and the comparison of the present results with those<br />

obtained by Visaria and Mudawar [8]. Equations (3) and (4)<br />

have been used to calculate the efficiency and the Weber<br />

number, respectively:<br />

η = CHF /( ρ<br />

f<br />

Q"<br />

λ + ρ<br />

f<br />

Q"<br />

C<br />

p, f<br />

ΔTsub<br />

)·100 (3)<br />

where C p,f is the liquid specific heat at constant pressure and<br />

ΔT sub is the temperature difference between the saturation<br />

temperature and the spray temperature. As in our<br />

experiments there is no sub-cooling, ΔT sub = 0 and equation<br />

(1) and (3) are equal.<br />

We = ρ Q" 2<br />

d /σ<br />

(4)<br />

f 32<br />

where Q " is the average volumetric spray flux over the<br />

impact area [8], d 32 is the Sauter mean diameter and σ is the<br />

surface tension.<br />

Estes and Mudawar [3] suggested a correlation based on<br />

Reynolds and Weber numbers to obtain d 32 , in order to<br />

employ it in equation (4). This correlation is shown in<br />

equation (5):<br />

1/ 2 −0.259<br />

d / d = 3.67( We )<br />

32 0<br />

d<br />

Re<br />

(5)<br />

0 d0<br />

where d 0 is the nozzle orifice diameter and We and are<br />

d 0<br />

Re d 0<br />

defined as:<br />

Wed<br />

= ρ ( 2 P / ρ ) d<br />

0<br />

/ σ<br />

0 g<br />

Δ<br />

(6)<br />

f<br />

where ρ g is the gas density and ΔP is the pressure drop<br />

across the spray nozzle.<br />

2<br />

Re = ( 2ΔP<br />

/ ρ )<br />

1/<br />

d / υ<br />

(7)<br />

d0 f 0 f<br />

where υ is the kinematic viscosity of the liquid.<br />

f<br />

The equation (5) has been used to make a comparison<br />

between our results and the Estes and Mudawar results [8].<br />

1000<br />

100<br />

0<br />

0.0020 0.0025 0.0030 0.0035 0.0040 0.0045 0.0050 0.0055 0.0060<br />

Mass Flow (kg/s)<br />

Figure 4. CHF vs. maximum heat flux at different spray mass flows.<br />

TABLE I<br />

VALUES OF THE CHF AT DIFFERENT SPRAY MASS FLOWS<br />

AND THE CHF UNCERTAINTY.<br />

Mass flow (g/s) CHF (W/cm 2 ) U CHF (%)<br />

3.60 161.01 ± 2.25<br />

4.13 174.22 ± 2.18<br />

4.25 174.22 ± 2.18<br />

4.97 181.02 ± 2.1<br />

5.16 192.23 ± 2.15<br />

In Figure 4 is also shown the maximum heat flux<br />

calculated considering the ideal situation in which all the<br />

refrigerant evaporates, that is, the heat transfer is only due to<br />

the latent heat as in equation (1). In this figure, as in Figure<br />

3, it is shown that for a low mass flow the difference<br />

between the CHF and the maximum heat flux is lower than<br />

for a high mass flow. Figure 4 also shows that the CHF has a<br />

Efficiency (%)<br />

100<br />

10<br />

Q"=0.026 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.025 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.021 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.021 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.018 (m3/(m2s))<br />

3 /m 2·s)<br />

Visaria and Mudawar [8]<br />

1<br />

0.000001 0.00001 0.0001 0.001 0.01 0.1 1 10<br />

Weber number<br />

Figure 5. Efficiency as a function of the Weber number.<br />

The efficiency of our results shows the same trend as<br />

those obtained by Visa and Mudawar [8].<br />

The Nusselt number has been used to obtain the<br />

dimensionless form of the heat transfer coefficient. This has<br />

been calculated with equation (8).<br />

Nu L<br />

= hL / κ<br />

(8)<br />

where L is the heater length, κ is the thermal conductivity of<br />

the liquid coolant and h is the heat transfer coefficient which<br />

is defined as:<br />

h = q" / ΔT<br />

(9)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 182<br />

ISBN: 978-2-35500-010-2


The highest Nusselt number was obtained with a<br />

volumetric flux of 0.021 m 3 /m 2·s, as can be seen in Figure 6.<br />

Apparently at 0.021 m 3 /m 2·s the combination of liquid phase<br />

forced convection and nucleate boiling is the optimum. That<br />

is, for the same heat flux applied the Nusselt number is the<br />

maximum.<br />

Nusselt number<br />

14000<br />

12000<br />

10000<br />

8000<br />

6000<br />

4000<br />

2000<br />

0<br />

0.00 0.05 0.10 0.15 0.20 0.25 0.30 0.35<br />

Dimensionless heat flux<br />

Q"=0.026 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.025 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.021 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.021 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.018 (m3/(m2s))<br />

3 /m 2·s)<br />

Figure 6. Nusselt number as a function of the dimensionless heat flux at<br />

different volumetric spray fluxes.<br />

7-9 October 2009, Leuven, Belgium<br />

because the high density of the spray prevents distinguishing<br />

the liquid film from the spray cone. Although the measure<br />

zone is outside the spray cone, we suppose that the heat<br />

transfer regimens which take place in the impact area are the<br />

same in these bots areas.<br />

The film thickness has been measured directly from<br />

photographs taken with a high speed camera. All the images<br />

were taken at 4000 frames per second with 448 x 448 pixels<br />

of resolution. In order to have a good average of the film<br />

thickness, 5 sets of samples have been taken at different<br />

times, each of them having 1000 images.<br />

A re-scaling of the pixel grey intensity has been made by<br />

using the MATLAB function “imadjust” in every picture in<br />

order to facilitate the film thickness definition. An algorithm<br />

has also been implemented to select the heater and the film<br />

thickness boundaries.<br />

In Figure 8 an example is shown where the film thickness<br />

boundary (yellow line) can be seen as well as a green line<br />

which is the heater boundary. The heater edge has been<br />

previously determined from a picture without spray.<br />

B. Liquid film thickness measurement.<br />

The sprayed refrigerant film thickness has been measured<br />

in a zone just outside of the spray cone but over the square<br />

heater, as represented schematically in Figure 7 (a) and<br />

shown in the photograph in Figure 7 (b). In this picture it can<br />

also be seen a reference target placed close to the heater in<br />

order to know how many micrometers that corresponds to<br />

each pixel.<br />

Figure 8. Example of a photograph used to measure the film thickness: the<br />

yellow line is the film thickness limit and the green line is the heater limit.<br />

Figure 9 shows some results of the local film thickness for<br />

different heat fluxes and a volumetric flux of 0.026 m 3 /m 2·s.<br />

It can be seen that the film thickness increases from the<br />

corner of the heater to the spray cone.<br />

Figure 9 also shows that as the heat flux increases, so does<br />

the film thickness, as expected because high heat fluxes<br />

generate more vapour inside the film and therefore a larger<br />

film thickness.<br />

1200<br />

(a)<br />

1000<br />

176 (W/cm 2 )<br />

176 [W/cm2] (W/cm 2 )<br />

147 [W/cm2] (W/cm 2 )<br />

Film thickness ( μm)<br />

800<br />

600<br />

400<br />

124 [W/cm2] (W/cm 2 )<br />

99 [W/cm2] (W/cm 2 )<br />

80 [W/cm2] (W/cm 2 )<br />

61 [W/cm2] (W/cm 2 )<br />

45 [W/cm2] (W/cm 2 )<br />

32 [W/cm2] (W/cm 2 )<br />

21 [W/cm2] (W/cm 2 )<br />

200<br />

5 (W/cm 2 )<br />

12 [W/cm2] (W/cm 2 )<br />

5 [W/cm2] (W/cm 2 )<br />

(b)<br />

Figure 7. Measurement zone of film thickness: (a) sketch of heater base and<br />

spray cone impact area; (b) picture of the spray cone impinging over the<br />

heater.<br />

The film thickness measurements were made in this zone<br />

0<br />

0 500 1000 1500 2000 2500 3000 3500 4000 4500<br />

Distance from the corner of the heater to the spray cone (μm)<br />

Figure 9. Local film thickness at 0.026 m 3 /m 2·s of volumetric flux for<br />

different heat fluxes.<br />

The dimensionless film thickness is the ratio between the<br />

film thickness and the Sauter mean diameter, d 32 , calculated<br />

with equation (5).<br />

Figure 10 shows the dimensionless average film thickness<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 183<br />

ISBN: 978-2-35500-010-2


along the measure zone over the heater as a function of the<br />

dimensionless heat flux at two different volumetric fluxes.<br />

As previously mentioned, the larger the heat flux, the thicker<br />

the film. But also as the volumetric flux increases, so does<br />

the average film thickness over the heater.<br />

Dimensionless average film thickness<br />

20<br />

18<br />

16<br />

14<br />

12<br />

10<br />

8<br />

6<br />

4<br />

2<br />

0<br />

0.00 0.05 0.10 0.15 0.20 0.25 0.30<br />

Dimensionless heat flux<br />

Q"=0.026 (m3/(m2s))<br />

3 /m 2·s)<br />

Q"=0.021 (m3/(m2s))<br />

3 /m 2·s)<br />

Figure 10. Dimensionless average film thickness as a function of the<br />

dimensionless heat flux.<br />

In Figures 11 and 12 the dimensionless average film<br />

thickness and the Nusselt number curves are shown as a<br />

function of the dimensionless heat flux for two different<br />

fixed volumetric fluxes. These plots have been made in order<br />

to see the relation of both variables during the different heat<br />

transfer regimes.<br />

As expected, in the forced convection zone the<br />

dimensionless average film thickness can be considered<br />

constant. This is because in this zone there are no vapour<br />

bubbles in the liquid film.<br />

After the forced convection regime, the increase in the<br />

liquid film thickness is due to the nucleate boiling over the<br />

heater. Figures 11 and 12 show that the nucleate boiling<br />

regime could be divided into three zones considering the<br />

variation of the average film thickness and the Nusselt<br />

number with the heat flux.<br />

In the first zone of the nucleate boiling regime, the sites<br />

over the heater where the vapour nucleation takes place<br />

increase as the heat flux increases. Due to that in this zone<br />

both the average film thickness and the Nusselt number<br />

increases with the heat flux.<br />

Dimensionless Film thickness<br />

20<br />

18<br />

16<br />

14<br />

12<br />

10<br />

8<br />

6<br />

Forced convection<br />

Incrase of<br />

heat<br />

transfer<br />

coefficient<br />

Q"=0.026 (m 3 /m 2· s)<br />

Maximun heat<br />

transfer<br />

coefficient<br />

Decrase of<br />

heat<br />

transfer<br />

coefficient<br />

14000<br />

12000<br />

10000<br />

4<br />

2000<br />

2<br />

Nucleate boiling<br />

0<br />

0<br />

0.00 0.05 0.10 0.15 0.20 0.25 0.30<br />

Dimensionless Heat Flux<br />

Figure 11. Dimensionless average film thickness and Nusselt number as<br />

functions of the dimensionless heat flux for a volumetric flux of 0.026<br />

m 3 /m 2·s.<br />

In the second zone of the nucleate boiling regime, the<br />

amount of nucleation sites is the optimum. Here the Nusselt<br />

8000<br />

6000<br />

4000<br />

Nusselt number<br />

7-9 October 2009, Leuven, Belgium<br />

number is the maximum and the film thickness seems to be<br />

constant.<br />

Finally, before the CHF is reached, there is a third zone in<br />

the nucleate boiling regime: as the heat flux increases, so<br />

does the film thickness but, unlike the other zones, the<br />

Nusselt number decreases. Since the heat flux increases<br />

beyond the second zone it produces a more vigorous boiling<br />

over the heater surface with more vapour generation and,<br />

therefore, an increase of the film thickness and a decrease of<br />

the heat transfer coefficient. The CHF is reached when the<br />

vapour generated over the heater surface forms dry zones<br />

where the heat transfer coefficient drops sharply and the<br />

heater temperature shoots up.<br />

Dimensionless Film thickness<br />

20<br />

18<br />

16<br />

14<br />

12<br />

10<br />

8<br />

6<br />

4<br />

2<br />

Forced convection<br />

Incrase of<br />

heat<br />

transfer<br />

coefficient<br />

Q"=0.021 (m 3 /m 2·s)<br />

Maximun<br />

heat transfer<br />

coefficient<br />

Nucleate boiling<br />

0<br />

0<br />

0.00 0.05 0.10 0.15 0.20 0.25 0.30<br />

Dimensionless Heat Flux<br />

Decrase of<br />

heat transfer<br />

coefficient<br />

14000<br />

12000<br />

10000<br />

Figure 12. Dimensionless average film thickness and Nusselt number as<br />

functions of the dimensionless heat flux for a volumetric flux of 0.021<br />

m 3 /m 2·s.<br />

C. Uncertainty analysis.<br />

The uncertainties from the thermal experimental<br />

measurements are the following: ± 1.12 ºC for the<br />

temperatures; ± 0.8% of the reading in the voltage; ± 1.1% in<br />

the reading of the refrigerant volumetric flow; and ± 0.04 bar<br />

for the pressure. In the measurement of the local film<br />

thickness the maximum uncertainty is ± 29.6% and the<br />

average is ± 11%. In the heat flux values the maximum<br />

uncertainty is ± 10.3% at the lowest voltage and the<br />

minimum uncertainty is ± 2.1% at the highest voltage.<br />

IV. CONCLUSIONS.<br />

Spray cooling experiments with R134a have been carried<br />

out with measurements of the thermal parameters and of the<br />

film thickness.<br />

The spray boiling curves obtained are consistent with the<br />

results presented in [2] and [3] about the spray density: a<br />

lighter spray has a higher efficiency than a denser spray.<br />

Moreover, the values of the spray cooling efficiency in the<br />

CHF point obtained in our experiments are in good<br />

agreement with the results presented in [8].<br />

Although the efficiency of a dense spray is lower than for<br />

a light spray, its CHF is larger. This is because the increase<br />

of the volumetric spray flux entails an increase in the liquid<br />

forced convection heat transfer capacity.<br />

A technique based on high speed photography (or video)<br />

has been used to measure the refrigerant film thickness over<br />

the heater surface.<br />

It has been found that there is a relation between the<br />

variation of the heat transfer coefficient and the refrigerant<br />

8000<br />

6000<br />

4000<br />

2000<br />

Nusselt number<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 184<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

average film thickness along the spray boiling curve. Three<br />

zones can be defined in the nucleate boiling regime of the<br />

curve based on the variation of both parameters.<br />

The film thickness in the highest Nusselt number zone is<br />

more or less 3 times the film thickness in the liquid forced<br />

convection regime. The highest Nusselt number was<br />

obtained for the volumetric spray flux of 0.021 m 3 /m 2·s.<br />

Apparently, the combination between forced convection and<br />

latent heat transfer is the best for this volumetric flux.<br />

The analysis of the film thickness variations during the<br />

spray cooling provide a good qualitative explanation of the<br />

heat transfer mechanisms which take place on the liquid film<br />

over the heater.<br />

ACKNOWLEDGMENTS<br />

This research was partially funded by Eusko Jaurlaritza-<br />

Gobierno Vasco, Spain through grants SAIOTEK 2006-07.<br />

The authors wish to acknowledge the financial support of<br />

Cátedra Fundación Antonio Aranzábal–Universidad de<br />

Navarra and Asociación de Amigos de la Universidad de<br />

Navarra.<br />

REFERENCES<br />

[1] K. A. Estes and I. Mudawar, “Comparison of two- phase electronic<br />

cooling using free jests and sprays,” Advances in Elec. Packaging,<br />

ASME., vol. 10-2, 1995, pp. 975-987.<br />

[2] R-H Chen, L. C. Chow and J. E. Navedo, “Optimal spray<br />

characteristics in water spray cooling,” Int. J. Heat Mass Transfer,<br />

vol. 47, 2004, pp. 5095-5099.<br />

[3] K. A. Estes and I. Mudawar, “Correlation of Sauter mean diameter<br />

and critical heat flux for spray cooling of small surfaces,” Int. J.<br />

Heat Mass Transfer, vol. 38, No. 16, 1995, pp. 2985-2996.<br />

[4] J. Yang, L. C. Chow and M. R. Pais, “Liquid film thickness and<br />

topography determination using Fresnel diffraction and<br />

holography,” Experimental Heat Transfer, vol. 5, 1992, pp. 239-<br />

252.<br />

[5] S.-S. Hsieh and C.-H. Tie, “R-134a spray dynamics and<br />

impingement cooling in the non-boiling regime,” Int. J. Heat Mass<br />

Transfer, vol. 50, 2007, pp. 502-512.<br />

[6] S.-S. Hsieh, T.-C. Fan and H.-H. Tsai, “Spray cooling<br />

characteristics of water and R-134a. Part I: nucleate boiling,” Int. J.<br />

Heat Mass Transfer, vol. 47, 2004, pp. 5703-5712.<br />

[7] J. Kim, “Spray cooling heat transfer: The state of the art,”<br />

International J. Heat and Fluid Flow, vol. 28, 2007, pp. 753-767.<br />

[8] M. Visaria and I. Mudawar, “Effects of high subcooling on twophase<br />

spray cooling and critical heat flux,” Int. J. Heat Mass<br />

Transfer, vol. 51, 2008, pp. 5269-5278.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 185<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Thermal Management of a 3D Chip Stack using a<br />

Liquid Interface to a Synthetic Jet Cooled Spreader<br />

Krishna Kota, Pablo Hidalgo, Yogendra Joshi, and Ari Glezer<br />

The George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology<br />

771 Ferst Drive NW, Atlanta, GA 30332-0405 USA<br />

Phone: (404) 894-3266, Fax: (404) 894-8496, Email: Ari.Glezer@me.gatech.edu<br />

Abstract – The present investigation focuses on the design of<br />

a unique liquid interface thermal management solution for a 3-<br />

D chip stack that is embedded within a cavity, in a heat<br />

spreader cooled by an array of synthetic jet actuators. The<br />

heat sink module was previously reported by the authors, who<br />

achieved an overall heat transfer coefficient of ~70 W/m 2 .K.<br />

The radial heat sink exploits enhanced, small-scale heat<br />

transfer that is affected by a central array of synthetic jet<br />

actuators. This approach is very effective due to the short<br />

radial thermal path of the cooling air along the fins which<br />

couples rapid, time-periodic entrainment and ejection of cool<br />

and heated air, respectively to increase the local heat transfer<br />

coefficient on the air-side. The key focus of this paper is the<br />

numerical simulation of the dielectric liquid interface used to<br />

efficiently transmit the heat from the high power 3D stacked<br />

electronics to the heat sink base. The coupled natural<br />

convection in the fluid and conduction in solid spreaders<br />

sandwiched between the tiers of the stack form a novel efficient,<br />

passive and scalable thermal management solution.<br />

I. INTRODUCTION<br />

The demand for future electronics to exhibit enhanced<br />

functionality with a small form factor has led to many<br />

innovations in device and assembly technologies. A popular<br />

assembly technique that is recently gaining prominence is<br />

stacking multiple electronic modules vertically as<br />

tiers/layers, thus reducing the lateral footprint of the<br />

assembly. This provides ample scope to miniaturize<br />

electronics but with the penalty of significant thermal<br />

management issues. The rate of heat generated per unit<br />

volume increases significantly with each added tier and heat<br />

removal from the ICs (Integrated Circuits) sandwiched in the<br />

middle of the stack is a major challenge under such<br />

scenarios.<br />

Thermal management of chip stacks is typically based on<br />

cooling of the outermost tiers (or layers), which poses<br />

thermal gradients within the stack because of heat<br />

concentration in the middle tiers. Package-level thermal<br />

management solutions have included the use of thermal vias<br />

through the substrate material for vertical heat transfer and<br />

the use of high thermal conductivity substrates. While these<br />

approaches involve complex electrical connections, they<br />

often provide only a marginal improvement in thermal<br />

performance (e.g., [1]). Integrated microchannel cooling has<br />

been reported in recent years, wherein the microchannels are<br />

formed directly in one or more of the components that<br />

constitute the package [2]. Flow and pool boiling were also<br />

proposed for cooling 3D stacked electronics recently [3].<br />

But, integrated microchannel technology requires external<br />

power to pump the cooling fluid against large pressure drops<br />

(owing to narrow flow passages) and atypical fabrication<br />

methods for the components (usually, substrates). Boiling<br />

involves increased pressure inside enclosures and<br />

undesirable vapor pocket formation. Single phase liquid<br />

immersion natural convection cooling of electronics in<br />

enclosures has been widely researched (e.g., [4-9]). While<br />

natural convection immersion cooling is passive, it is<br />

difficult to promote it in narrow passages. Since typical<br />

stacking of most electronics is implemented in the vertical<br />

direction, the resulting enclosure is a narrow horizontal one.<br />

Studies until now have focused on immersion cooling of<br />

stacked electronics in vertical enclosures in which natural<br />

convection heat transfer cooling is relatively easy to<br />

accomplish compared to horizontal enclosures. A few<br />

studies have focused on natural convection in horizontal<br />

enclosures but are mostly limited to heat sources placed in a<br />

single plane/layer [10,11], instead of stacked in 3D.<br />

A novel conduction-natural convection based cooling<br />

solution for thermal management of 3D stacked electronics is<br />

presented in this paper. Numerical simulation of the heat<br />

transfer phenomena are carried out, when the concept is<br />

coupled with a radial finned heat sink cooled by an array of<br />

synthetic jet actuators.<br />

II.<br />

CONCEPT<br />

In the proposed implementation, internal copper spreader<br />

layers are integrated with the stack to form a pyramid-like<br />

structure for lateral heat transfer from the stacked devices, as<br />

shown in Fig. 1. The stack is submerged in dielectric liquid<br />

so that heat is transferred from the external surfaces of the<br />

lateral spreaders to the liquid by natural convection. In the<br />

present implementation, the stack is designed to promote<br />

natural convection flow, and the heat is ultimately<br />

transferred to the heat spreader through the internal surfaces<br />

of the cavity as shown in Fig. 2. Though direct conduction<br />

cooling methods avoid the complexities associated with<br />

liquid containment and handling, they pose significant<br />

mechanical and stress challenges in applications that require<br />

very compact and relatively high-power thermal<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 186<br />

ISBN: 978-2-35500-010-2


management solutions. The present direct liquid immersion<br />

interface significantly reduces thermal resistance, allows for<br />

extremely compact packaging, alleviates thermo-mechanical<br />

stresses, and is easily scalable.<br />

Fig. 1. Immersion cooling of 3D stack in FC-77 using sandwiched solid<br />

spreaders arranged in truncated pyramid shape<br />

An important aspect of the integration of a 3-D chip stack<br />

to the heat sink is the thermal interface between the stack and<br />

the heat spreader. This interface is complicated not only by<br />

the need to implement a low-resistance thermal interface in a<br />

3-D configuration that includes significant internal and<br />

external connections, but also by the need to minimize<br />

thermally- and assembly-induced mechanical stresses.<br />

7-9 October 2009, Leuven, Belgium<br />

convection is laminar with Gr based on the height of the<br />

enclosure (which is the largest value compared to individual<br />

heights of the spreaders from the enclosure top surface) was<br />

3x10 6 and Gr based on the lateral extension of each spreader<br />

was found to be ~1x10 4 , both of which are


7-9 October 2009, Leuven, Belgium<br />

for some cases. Therefore, to save computational time and<br />

memory, grids consisting of element count around 18,161<br />

were used for all the simulations.<br />

Sudden spikes in the numerical solution (in both velocity<br />

and temperature fields), especially at the solid-fluid<br />

of the tiers that are closely spaced, resulting in a very narrow<br />

gap for the fluid to circulate in the vertical direction. Hence,<br />

the only mode of heat transfer is natural convection to the<br />

sides in the narrow vertical region between the ends of the<br />

tiers and the enclosure, which is weak and results in<br />

interface, were dampened by introducing numerical unacceptably high temperatures. It must be noted that for<br />

diffusion in the equation system. This was done by this simulation, the geometry setup was assumed to have no<br />

manually adding a diffusion coefficient to the electrical connections between two successive tiers as a<br />

flow/thermophysical property/diffusivity of the dielectric simplification.<br />

fluid. This technique enabled stability and convergence of<br />

the solution. Reducing the numerical diffusion coefficient<br />

below 0.5 was found to not alter the solution field<br />

significantly but allowed substantially large mesh sizes.<br />

Therefore, a value of 0.5 was used for numerical diffusion<br />

for all the simulations.<br />

IV.<br />

RESULTS AND DISCUSSION<br />

The developed numerical model was used to study the<br />

effect of key enabling features on the functionality of the<br />

proposed thermal management solution.<br />

A. Importance of solid spreaders<br />

The effectiveness of sandwiched solid spreaders between<br />

the tiers was first studied. Table I gives the material and<br />

thermophysical property data used for all the simulations in<br />

this paper. Thermophysical properties of the dielectric fluid<br />

were considered as functions of temperature [14].<br />

Part<br />

TABLE I<br />

MATERIAL AND THERMOPHYSICAL PROPERTY DATA<br />

Material<br />

Thermal<br />

Conductivity<br />

(W/m.K)<br />

Density<br />

(kg/m 3 )<br />

Specific<br />

Heat<br />

(J/kg.K)<br />

Substrate FR-4 0.3 1900 1369<br />

IC Si 163 2330 703<br />

Heat<br />

Spreader<br />

Dielectric<br />

Fluid<br />

Dielectric<br />

Box<br />

Heat Sink<br />

Base<br />

Cu 400 8700 385<br />

FC-77<br />

[14]<br />

Dynamic<br />

Viscosity<br />

(Pa.s)<br />

0.065-8x10 -5 T * 1838-2.45T 1014+1.554T 56.25x10 -5<br />

Cu 400 8700 385<br />

Cu 400 8700 385<br />

Heat Sink Cu 400 8700 385<br />

Solder<br />

Bumps<br />

Epoxy (to<br />

join IC to<br />

the<br />

Spreader)<br />

Pb-Sn 50<br />

Pyroduct<br />

®597A<br />

[15]<br />

9<br />

* T is the temperature in 0 C<br />

Fig. 4 shows the geometry of the stack enclosure. A<br />

maximum allowable chip temperature, T max , of 350 K (77<br />

0 C) was assumed. The maximum chip temperature can be<br />

observed as 358 K (at the end of first 300 s) for the dielectric<br />

fluid in natural convection. The steady state value was<br />

found to be over 400 K. Therefore, natural convection alone<br />

was found to be inadequate for effectively removing heat<br />

from the tiers. This is because of the horizontal orientation<br />

Fig. 4. Natural convection cooling of the 3D stack – geometry and results<br />

Following this, the heat transfer in stack integrated with<br />

solid spreaders sandwiched between the circuit tiers (Fig. 5)<br />

was investigated for comparison.<br />

Fig. 5. Immersion cooling of 3D pyramid-structures stack submerged in a<br />

dielectric liquid.<br />

In Fig. 1, the assumed values are, A=42.5, E=15, F=7.48,<br />

G=0.5, H=0.87 and I=1, all in mm. The remaining values<br />

are chosen from case V as described in the next subsection.<br />

The induced natural convection velocity field between the<br />

edges of the stack and the cavity surfaces is shown in Fig. 6.<br />

It is remarkable that even though the height of the stack<br />

enclosure is only 8 mm, strong natural convection of the FC-<br />

77 is prevalent over the entire fluid domain. This effect is<br />

due to the truncated pyramidal arrangement. This is not<br />

possible if no spreaders are used, or if all the spreaders have<br />

the same size.<br />

Fig. 6. The 3D velocity field associated with natural convection of the<br />

dielectric fluid<br />

Of particular note is a vortical structure that forms near the<br />

corner of the cavity. It appears that the appearance of the<br />

secondary flow is enabled by the stepwise edge of the<br />

pyramid. The recirculating flow results in effective<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 188<br />

ISBN: 978-2-35500-010-2


spreading of the heat to the cavity walls, and the resulting<br />

lower temperatures. Fig. 6 also indicates that the flow above<br />

the top surface of the stack is primarily dominated by local<br />

natural convection cells, with relatively little communication<br />

with the bulk flow around the sides of the pyramid. The<br />

resulting temperature distribution is shown in Fig. 7.<br />

7-9 October 2009, Leuven, Belgium<br />

used to spread heat more effectively and hence the proposed<br />

idea is easily scalable.<br />

TABLE II<br />

SPREADER SIZE EFFECT ON MAXIMUM CHIP TEMPERATURE<br />

Case<br />

B C D J T max<br />

(mm) (mm) (mm) (mm) (K)<br />

I 60 73.5 85 90 332.7<br />

II 47.5 52.5 57.5 60 340.4<br />

III 45 47.5 50 52.5 343.1<br />

IV 47.5 52.5 57.5 60 334.6<br />

V 45 47.5 50 52.5 337.8<br />

Tmax (K)<br />

355<br />

350<br />

345<br />

340<br />

335<br />

330<br />

Fig. 7. Temperature distribution (in K) in the integrated heat sink<br />

In this example, the maximum temperature within the chip<br />

stack is 337.8 K, which is considerably lower than the<br />

maximum allowable operating temperature of 350 K. At the<br />

same time, the volume footprint of the entire assembly is<br />

only 76.2 mm square by 43.2 mm height. In this<br />

arrangement, the bottom surface of the heat spreader is<br />

insulated and therefore the bottom tier is the warmest, but<br />

this effect is minimized by the pyramid structure, which<br />

helps in achieving more uniform temperatures in the stack.<br />

Note that if the power dissipation of the stack tiers varies,<br />

the heat spreaders can be designed or rearranged to achieve a<br />

more uniform temperature distribution by exploiting local<br />

convection currents within the dielectric fluid.<br />

B. Impact of spreader size<br />

Various platform dimensions of the copper spreaders were<br />

explored with a goal of minimizing the lateral dimension of<br />

the module for optimal heat transfer. The results are<br />

summarized in Table II, where cases I to III are for an<br />

arrangement as shown in Fig. 1 and cases IV and V are for<br />

the setup shown in Fig. 5. It is interesting to note the<br />

usefulness of solid copper filling the no/low-convection<br />

zones between the lateral spreader extensions. T max is<br />

reduced by filling the spaces with extra copper. It is also<br />

noteworthy that the existence of no gaps between the stack<br />

levels prevents the dielectric fluid from accumulating in<br />

domains where the flow is restricted and thus avoids stagnant<br />

localized air pockets that may form due to degassing, or<br />

vapor pockets in two-phase flow.<br />

Fig. 8 shows T max with increasing stack power (combined<br />

power from all the four ICs in the stack). As expected, it<br />

was found to increase nearly linearly with total chip power.<br />

The assumed spreader size (case V) was found to be capable<br />

of dissipating up to 50 W to the fluid, without exceeding the<br />

allowable temperature limit. It can be concluded from Table<br />

II and Fig. 8 that a design with large spreader sizes can be<br />

325<br />

25 30 35 40 45 50 55 60<br />

Total Chip Power (W)<br />

Fig. 8. Total stack power vs. maximum chip temperature (T max)<br />

C. Impact of epoxy thermal conductivity<br />

The foremost path of heat transfer in the proposed solution<br />

is between the chip and the copper spreaders. A large<br />

thermal resistance between these two entities is a bottleneck<br />

for effective heat removal from the chip. Fig. 8 shows the<br />

effect of thermal contact resistance, R c , between the spreader<br />

and the chip on the maximum chip temperature. It can be<br />

seen from the figure that thermal resistance at the contact<br />

between the spreader and the clamp is significant only when<br />

an air gap is present. If an interface material with a thermal<br />

conductivity > 0.1 W/m.K is used to fill the gap (assumed as<br />

125 micrometers), the resistance becomes negligible.<br />

Fig. 8. Effect of contact resistance (R c) between the spreader and the chip on<br />

chip maximum temperature (T max)<br />

The insignificant effect of R c on T max is because of its<br />

small value, compared to the thermal resistance to heat<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 189<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

transfer in dielectric fluid. This can be better observed in It can be seen that if the number of holes, N, in the<br />

Fig. 9 and Table III, where the volume-averaged temperature spreader is >5000, only then T max increases very rapidly. For<br />

values of various components in the heat transfer path from N < 5000, having holes in the spreader around the chip<br />

the heat source to the final heat sink are provided.<br />

contact zone has negligible effect on the heat removal.<br />

E. Impact of synthetic jet heat transfer coefficient<br />

As mentioned before, the heat transfer coefficient, h,<br />

obtained by synthetic jets can vary depending on the<br />

operating conditions. Hence, simulations were run for a<br />

typical range of h. From Fig. 11 it can be observed that T max<br />

is well within the assumed operating limit of the stack even<br />

for h as low as 50 W/m 2 .K.<br />

Fig. 9. Isosurface temperature (in K) plot for the heat sink – base –<br />

enclosure assembly<br />

TABLE III<br />

AVERAGE TEMPERATURES OF VARIOUS COMPONENTS OF THE ASSEMBLY IN<br />

THE MAJOR HEAT TRANSFER PATH<br />

Component Average Temperature (K)<br />

IC 335<br />

Cu. Spreaders 329<br />

FC-77 320<br />

Base 315<br />

Finned heat sink 313<br />

D. Impact of interconnect holes<br />

3D stacking of electronics needs electrical connections<br />

between the layers of the stack for data transfer and signal<br />

communication. Accordingly, a provision in the form of<br />

holes needs to be provided in the sandwiched heat spreaders<br />

to facilitate passing of wires. Fig. 10 shows the effect of<br />

number of interconnecting holes, N, on heat spreading<br />

through the bottommost spreader.<br />

Fig. 10. Effect of number of holes (N) on chip maximum temperature<br />

(T max)<br />

Fig. 11. Effect of synthetic jet heat transfer coefficient (h) on the chip<br />

maximum temperature (T max)<br />

V. CONCLUSION<br />

The present work has numerically demonstrated that the<br />

liquid thermal interface can result in effective heat transfer<br />

from 3D IC stack, while alleviating the difficulties<br />

associated with packaging and assembly. The suggested<br />

design is highly suitable for compact applications and is an<br />

ideal candidate for scaling. The number of pyramidal solid<br />

spreaders can be simply increased with each additional tier<br />

and their size can be tailored to suit the power requirements<br />

and promote natural convection at the same time, all without<br />

changing the base concept design.<br />

The heat spreader is integrated with an efficient, synthetic<br />

jet based radial heat sink to reject the heat to the ambient.<br />

Numerical simulation was used to demonstrate the<br />

workability of the concept. It was shown that promoting<br />

natural convection effectively in narrow horizontal<br />

enclosures (with 8 mm height in the present case) is viable,<br />

effective and is capable of removing a few tens of Watts of<br />

heat. The effectiveness of the concept depends on the heat<br />

removal path on the air-side. With a sufficiently high heat<br />

transfer coefficient on the air-side (when coupled with a<br />

radial heat sink cooled by synthetic jets as shown in the<br />

paper), thermal resistance in the dielectric liquid is a major<br />

hindrance to heat transfer but can be reduced by providing<br />

additional circulation in the fluid.<br />

Some of the key impediments to effective implementation<br />

of the concept were identified and a parametric study was<br />

performed with corresponding parameters. It was found that<br />

they only have a marginal impact on the performance under<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 190<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

typical operating conditions. The concept and the numerical<br />

model will be validated by experiments that are currently<br />

underway.<br />

ACKNOWLEDGMENT<br />

The authors wish to acknowledge DARPA Microsystems<br />

Technology Office for funding the work through the 3D<br />

MINT program and Irvine Sensors Corporation.<br />

REFERENCES<br />

[1] D. Pinjala, M.K. Iyer, C.S. Guan, and I.J. Rasiah, “Thermal<br />

characterization of vias using compact models,” Proc. EPTC 2000,<br />

pp. 144-147, 5-7 Dec 2000.<br />

[2] T. Brunschwiler, B. Michel, H. Rothuizen, U. Kloter, B. Wunderle,<br />

H. Oppermann, and H. Reichl, “Forced Convective Interlayer<br />

Cooling in Vertically Integrated Packages,” Proc. ITHERM 2008,<br />

pp. 1114-1125, Orlando, Florida, 28-31 May 2008.<br />

[3] A. Bar-Cohen, K. Geisler, and E. Rahim, “Pool and Flow Boiling<br />

in Narrow Gaps – Application to 3D Chip Stacks,” Proc. Fifth<br />

European Thermal-Sciences Conference, Eindhoven, The<br />

Netherlands, 18-22 May 2008.<br />

[4] D.E. Wroblewski, Y. Joshi, “Liquid Immersion Cooling of a<br />

Substrate-Mounted Protrusion in a Three-Dimensional Enclosure:<br />

The effects of Geomotry and Boundary Conditions,” J. Heat Tran.,<br />

vol. 116, pp. 112-119, February 1994.<br />

[5] Y. Joshi, M.D. Kelleher, M. Powell, and E.I. Torres, “Natural<br />

Convection Heat Transfer from an Array of Rectangular<br />

Protrusions in an Enclosure Filled with Dielectric Liquid,” J.<br />

Electron. Packaging, vol. 116, pp. 138-147, June 1994.<br />

[6] T.J. Heindel, S. Ramadhyani, and F.P. Incropera, “Conjugate<br />

Natural Convection from an Array of Discrete Heat Sources: Part 1<br />

– Two- and Three-Dimensional Model Validation,” Int. J. Heat and<br />

Fluid Flow, vol. 16, pp. 501-510, 1995.<br />

[7] T.J. Heindel, S. Ramadhyani, and F.P. Incropera, “Conjugate<br />

Natural Convection from an Array of Discrete Heat Sources: Part 2<br />

– A Numerical Parametric Study,” Int. J. Heat and Fluid Flow, vol.<br />

16, pp. 511-518, 1995.<br />

[8] S.K.W. Tou, C.P. Tso, and X. Zhang, “3-D Numerical Analysis of<br />

Natural Conevctive Liquid Cooling of a 3x3 Heater Array in<br />

Rectangular Enclosures,” Int. J. Heat Mass Tran., vol. 42, pp. 3231-<br />

3244, 1999.<br />

[9] Y.L. He, W.W. Yang, and W.Q. Tao, “Three-Dimensional<br />

Numerical Study of Natural Convective Heat Transfer of a Liquid<br />

in a Cubic Enclosure,” Num. Heat Tran. A, vol. 47, pp. 917-934,<br />

June 2005.<br />

[10] Q-.H. Deng, G-.F. Tang, Y. Li, and M.Y. Ha, “Interaction Between<br />

Discrete Heat Sources in Horizontal Natural Convection<br />

Enclosures,” Int. J. Heat Mass. Tran., vol. 45, pp. 5117-5132,<br />

2002.<br />

[11] A. Bazylak, N. Djilali, and D. Sinton, “Natural Convection in an<br />

Enclosure with Distributed Heat Sources,” Num. Heat Tran. A, vol.<br />

49, pp. 655-667, October 2006.<br />

[12] F.P. Incropera, D.P. DeWitt, Introduction to Heat Transfer, 4 th Ed.,<br />

John Wiley & Sons, Inc., New York, NY, 2002.<br />

[13] D. Gerty, D.W. Gerlach, Y.K. Joshi, and A. Glezer, “Development<br />

of a Prototype Thermal Management Solution for 3-D Stacked Chip<br />

Electronics by Intervealed Solid Spreaders and Synthetic Jets”.<br />

THERMINIC 2007, Budapest, Hungary, 17-19 September 2007.<br />

[14] www.3m.com<br />

[15] www.aremco.com<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 191<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Presentation and status of the NANOPACK project<br />

A. Ziaei, S. Demoustier<br />

Thales Research and Technology - France<br />

Campus Polytechnique<br />

1, avenue Augustin Fresnel<br />

91767 Palaiseau Cedex, France<br />

Abstract – NANOPACK – Nano Packaging Technology for<br />

Interconnect and Heat Dissipation – is a European large-scale<br />

integrating project aiming at the development of new<br />

technologies and materials for low thermal resistance interfaces<br />

and electrical interconnects, by exploring the capabilities<br />

offered by nanotechnologies such as carbon nanotubes,<br />

nanoparticles and nano-structured surfaces, and by using<br />

different enhancing contact formation mechanisms, compatible<br />

with high volume manufacturing technologies. Several key<br />

research areas relative to thermal management, interconnect<br />

and packaging are addressed in the project by European<br />

industrial and academic partners: thermal interface materials,<br />

assembly, reliability and characterisation, supported by<br />

modeling and simulations. After an overview of NANOPACK, a<br />

status of the project progress is presenteed in these different<br />

fields.<br />

I. INTRODUCTION<br />

Thermal management of chip based electronic devices is<br />

becoming one of the largest bottlenecks to increased<br />

performance and integration density. Size scaling of<br />

transistors and increase of the clock rate according to<br />

Moore’s law and the semiconductor industry association<br />

(SIA) roadmap led to an explosion in power-density for logic<br />

circuits, communication devices, and memory. Although the<br />

energy per operation is still decreasing, cramming more and<br />

more transistors to the same area increases the density of<br />

dissipated power to an unacceptable level that threatens the<br />

current fast rate of progress of the industry. On the path from<br />

the source in the drain region of individual transistors to the<br />

heatsink – be it an air or a liquid cooler – the heat flux<br />

crosses a multitude of interfaces some of them separated by<br />

bulk amounts of matter.<br />

To reduce the thermal resistance from junction to ambient,<br />

new generations of low thermal resistance interfaces and low<br />

electrical resistance interconnects are needed. Efforts are<br />

focused in NANOPACK on the development of thermal<br />

interface materials (TIM), enhancing surface mechanisms<br />

and assembly technologies to reach total thermal interface<br />

resistance as low as a few Kmm²/W. Such low targeted<br />

values raise the question of their measurements with enough<br />

accuracy and repeatability. That’s why high-end specific<br />

characterisation systems are also implemented in the<br />

framework of NANOPACK.<br />

As more nanoparticles are used to improve the<br />

performance of filled materials by increasing heat transfer<br />

between solid surfaces and fluids, these nano-fluids will also<br />

be confronted with effects related to phonon quantization<br />

and will need experimental investigation as well as<br />

theoretical frameworks. To allow a continued development<br />

of the semiconductor industry, as stated in the SIA roadmap,<br />

an improved understanding of phononics in nanoparticle<br />

filled fluids or composites is needed. Nano-scale modeling<br />

and characterisation techniques are developed in the project<br />

to tackle these issues.<br />

II. NANOPACK OVERVIEW<br />

NANOPACK is a large-scale Integrating Project<br />

performed within the Information and Communication<br />

Technologies (ICT) theme of the 7 th European Framework<br />

Program, targeting the development of next-generation<br />

nanoelectronics components and electronics integration.<br />

The NANOPACK consortium, placed under the lead of<br />

Thales Research and Technology, consists of 4 major<br />

industrial partners, 4 innovative SMEs, and 6 academic<br />

groups in total representing 8 European countries and<br />

providing all the necessary competences in all key areas<br />

dedicated to thermal management, interconnect and<br />

packaging: thermal interface materials (TIM), thermal<br />

interface assembly, reliability, characterisation and modeling<br />

supported by world class computers. The total cost of the<br />

project is 11 M€ for a total funding of 7.4 M€.<br />

Fig. 1. NANOPACK Technology Base<br />

The overall objective of the NANOPACK project is to<br />

develop new thermal interface technologies for low thermal<br />

resistance by employing nano-modified surfaces and<br />

materials along with methods to characterize and simulate<br />

them with respect to thermal, electrical and reliability-related<br />

properties. Three parallel approaches will be pursued to<br />

improve thermal and electrical performance: enhancement of<br />

bulk conductivity of filled systems, reduction of bondline<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 192<br />

ISBN: 978-2-35500-010-2


thickness, and optimization of nanoscale thermal and<br />

electrical contact surfaces (see Fig. 1). To tackle the overall<br />

project purpose, four major technical objectives are targeted:<br />

• To develop new interface technologies for low thermal<br />

and electrical resistance and discover new high-density<br />

integration technologies;<br />

• To develop new characterisation methods and tools for<br />

thin and highly conductive interface layers;<br />

• To understand heat transfer on a micro/ nano scale thanks<br />

to simulative and nano-analytical methods;<br />

• To build optimal demonstrators with respect to thermal,<br />

electrical and reliability-related properties to create<br />

impact in several industries.<br />

III. PROJECT STATUS AND PROGRESS<br />

In order to successfully achieve the project goals and<br />

enable the development of leading thermal management and<br />

interconnect technologies at the horizon of 2010, the project<br />

has been organized into 6 main technical work packages<br />

which address the following research issues: materials and<br />

process development, thermal, electrical and mechanical<br />

characterizations, nano- to macro-scale modeling and<br />

simulations. Several demonstrators will be designed to<br />

validate the adequacy of the technologies with applications<br />

such as microprocessors, automotive and aerospace high<br />

power electronics and high power radio-frequency switches.<br />

7-9 October 2009, Leuven, Belgium<br />

B. Process Development and Optimization<br />

These activities aim at establishing new manufacture and<br />

integration process which enables more efficient heat<br />

removal in modern integrated circuits and microsystems.<br />

Several parallel routes are studied. A surface modification<br />

technique based on micromachined hierarchical nested<br />

channels (HNC) has proven its efficiency to reduce the final<br />

BLT by > 20% for the majority of TIMs on 1-250cm2<br />

interfaces. Au-nanosponge surface enhancement technique is<br />

also under evaluation to decrease interfacial contact<br />

resistance. Properties of randomly distribubted and aligned<br />

Carbon Nanotubes (CNT) are currently studied. A specific<br />

process has been establish to manufacture a metal-polymer<br />

composite with thermal conductivty as high as 20 W/mK.<br />

Finally, fine pitch CNT bumps reported with isotropic<br />

adhesives are serious candidates as future flip chip<br />

interconnect technology (Fig. 3).<br />

Fig. 2. NANOPACK Work Packages<br />

A. Materials Development<br />

Thermal grease, thermal conductive adhesive, thermal<br />

conductive phase change materials and electrically<br />

conductive adhesive (ECA) are currently developped in the<br />

project. The fabrication methodology is based on the<br />

synthesis of nano-structured materials such as nanoparticles,<br />

nanotubes, nanofibrils and on the optimisation of dispersing<br />

technologies to generate minimum thermal barriers between<br />

nano-fillers and matrix to achieve a maximum thermal<br />

conductivity. More than 6 W/mK as thermal conductivity<br />

has been reached by bimodal thermal grease, with spherical<br />

metallic micro spheres and graphitsed Carbon Nano Fibers<br />

(CNF) in Silicone matrix. Bimodal ECA with 9.5 W/mK as<br />

thermal conductivity has been fabricated by incorporating<br />

silver flakes and micro silver spheres in bi-epoxy matrix.<br />

Reliability tests are under progress.<br />

Fig. 3: CNT bumps transferred by patterned Isotropic Conductive Adhesive<br />

films<br />

C. Fabrication of Test Structures and Characterisation<br />

This work is focused on the characterization of the<br />

interface materials developed in the project along with their<br />

process dependence in thermal, electrical and thermomechanical<br />

properties. It requires to manufacture test<br />

structures to facilitate the in-situ measurement of thin layers<br />

of very high thermal conductivity materials. Additional test<br />

structures are also realised in order to investigate the<br />

electrical properties of microscale particle stacks for<br />

electrical interconnects in power electronics die attach.<br />

Failure of interfaces and crack propagation are studied<br />

thanks to advanced in-situ thermo-mechanical experiments.<br />

Thermal resistance values smaller than 10 mK/W are<br />

expected to be measured with an accuracy of 3 - 5 % thanks<br />

to original designs of several TIM testers in steady state.<br />

Spatially resoluted measurements of electrical conductivity<br />

with 5 μΩ resolution are also on stakes. The 3-omega<br />

method is also adapted in the project to retrieve local<br />

information on the subcontinuum (spatial dispersion) heat<br />

conduction as nanostructured materials offer a promising<br />

way to increase the heat transfer between TIMs and their<br />

substrates.<br />

E. Modeling and Simulations<br />

State-of-the-art simulation techniques are developed to<br />

better understand heat transfer at the nano and micro scales<br />

in thin films and dense particle systems. Analytic techniques,<br />

FDTD methods and molecular dynamics simulations are<br />

used to explore phonon properties in nano scale systems. In<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 193<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

addition to more scientific studies, traditional tools such as<br />

finite element analysis for mechanical structures are<br />

incorporated for design of demonstrator devices and failure<br />

analysis.<br />

F. Demonstrators<br />

With better cooling as developed in the NANOPACK<br />

project, electronic components can be packed more densely<br />

and be operated at higher power levels. This strongly<br />

increases the energy efficiency of the systems by reducing<br />

the need for chiller systems and limiting the waste of<br />

materials associated with lower density systems. The<br />

objective of this work is to exploit the newly enabled<br />

technologies by demonstrating several devices owning<br />

unprecedented thermal and electrical capabilities, in the<br />

fields of microprocessors, automotive and aerospace high<br />

power electronics and high power radio-frequency switches.<br />

IV. LIST OF PARTNERS<br />

The NANOPACK consortium consists of 4 major<br />

industrial partners, 4 innovative SMEs, and 6 academic<br />

groups in total representing 8 European countries:<br />

Thales Research & Technology, France<br />

Budapest University of Technology and Economics, Hungary<br />

Robert Bosch GmbH, Germany<br />

Institut d’Electronique de Microtechnologies et de<br />

Nanotechnologie, France<br />

Chalmers University of Technology, Sweden<br />

Electrovac AG, Austria<br />

Foab Elektronik AG, Sweden<br />

Fraunhofer Insititut IZM, Germany<br />

IBM Zurich Research Laboratory, Switzerland<br />

Catalan Institute of Nanotechnology, Spain<br />

MicReD Ltd. Hungary<br />

Berliner Nanotest und Design GmbH, Germany<br />

Thales Avionics, France<br />

VTT Micro and Nanoelectronics, Finland<br />

ACKNOWLEDGMENT<br />

This work is supported by the European Commission 7 th<br />

Framework Programme (FP7), under the grant agreement<br />

n°216176 (NANOPACK).<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 194<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Electro-Thermal Modeling of Nano-Scale Devices<br />

D. Vasileska 1 , K. Raleva 2 and S. M. Goodnick 1<br />

1 Department of Electrical Engineering, Arizona State University, Tempe, AZ 85287-5706, USA<br />

2 Faculty of Electrical Engineering and Information Technology, University Sts. Cyril and Methodius, Skopje, Republic of<br />

Macedonia<br />

Abstract-In this paper we present simulation results obtained with<br />

our electro-thermal device simulator when modeling different<br />

technology generations of FD-SOI devices. In particular, we stress<br />

out the importance of the temperature boundary conditions for<br />

digital and analog circuits and the use of the full model which<br />

takes into account both temperature and thickness dependence<br />

(which is particularly important for thin silicon films) of the<br />

thermal conductivity.<br />

Key-words: electro-thermal modeling, FDSOI devices,<br />

particle-based device simulations<br />

I. INTRODUCTION<br />

The scaling of semiconductor devices into the nanometer<br />

regime and the problems associated with further<br />

miniaturization of device technologies has resulted into<br />

investigation of devices with alternative materials and<br />

alternative device designs such as fully-depleted (FD), dualgate<br />

(DG), tri-gate silicon-on-insulator (SOI) and other device<br />

designs. The problem with SOI devices is that they exhibit selfheating<br />

effects. These self-heating effects arise from the fact<br />

that the underlying SiO 2 layer has about 100 times smaller<br />

thermal conductivity than bulk Si (1.4 W/m/K). Also, the<br />

thickness of the silicon film in nanoscale devices is much<br />

smaller than the phonon mean free path which is on the order<br />

of 300 nm in bulk silicon. Therefore, boundary scattering<br />

becomes dominant scattering mechanism, thus reducing the<br />

thermal conductivity value to a fraction of its bulk value. For<br />

example, the bulk thermal conductivity in silicon is 148<br />

W/m/K and the thermal conductivity of a silicon film of<br />

thickness of 10 nm is 13 W/m/K (a factor of 10 smaller than<br />

the bulk value). Also, in thin silicon films the thermal<br />

conductivity has smaller temperature dependence because<br />

boundary scattering is temperature independent scattering<br />

process.<br />

II. THE ROLE OF THE TEMPERATURE BOUNDARY<br />

CONDITIONS<br />

In analog devices neighboring devices are typically on and if<br />

the gate contacts are also biased then there is no heat flow<br />

through the gate contact and the side boundaries. In these cases<br />

it is appropriate to use Neumann boundary conditions on the<br />

side (artificial) boundaries and Neumann boundary conditions<br />

on the gate electrode. Simulation results for the current<br />

degradation for different technology of FD SOI devices,<br />

summarized in Table 1, are presented in Figure 1.<br />

In the case of digital circuits, the devices are rarely on and<br />

the use of Dirichlet boundary conditions at the gate and the side<br />

boundaries are the appropriate boundary conditions. This<br />

corresponds to the best-case scenario of heat removal from the<br />

device active region. Simulation results for the current<br />

degradation for different technology devices when Dirichlet<br />

boundary conditions are applied to the gate and the side<br />

boundaries, are shown in Figure 2.<br />

Table 1. Parameters for various simulated device technology nodes (constant<br />

field scaling) [1].<br />

L<br />

(nm)<br />

tox<br />

(nm)<br />

t Si<br />

(nm)<br />

t box<br />

(nm)<br />

N ch<br />

(cm -3 )<br />

V GS=V DS<br />

(V)<br />

I D<br />

(mA/um)<br />

25 2 10 50 1×10 18 1.2 1.82<br />

45 2 18 60 1×10 18 1.2 1.41<br />

60 2 24 80 1×10 18 1.2 1.14<br />

80 2 32 100 1×10 17 1.5 1.78<br />

90 2 36 120 1×10 17 1.5 1.67<br />

100 2 40 140 1×10 17 1.5 1.57<br />

120 3 48 160 1×10 17 1.8 1.37<br />

140 3 56 180 1×10 17 1.8 1.23<br />

180 3 72 200 1×10 17 1.8 1.03<br />

L- Gate Length; tox- Gate Oxide Thickness;<br />

t Si- Active Si Layer Thickness;<br />

t box- BOX Thickness;<br />

N ch- Channel Doping Concentration;<br />

I D- Isothermal current value (300K).<br />

Degradation (%)<br />

100<br />

75<br />

50<br />

25<br />

300K<br />

400K<br />

600K<br />

Neumann<br />

0<br />

50 100 150<br />

Gate Length (nm)<br />

Figure 1. Current degradation vs. technology generation ranging from 25 nm to<br />

180 nm channel length FD SOI devices (Table 1). Isothermal boundary<br />

condition of 300K is set on the bottom of the BOX. Parameter is the<br />

temperature on the gate electrode. Neumann boundary conditions are applied at<br />

the vertical sides.<br />

Degradation (%)<br />

100<br />

80<br />

60<br />

40<br />

20<br />

300K<br />

Neumann<br />

0<br />

50 100 150<br />

Gate Length (nm)<br />

Figure 2. Current degradation for the case of Dirichlet boundary conditions at<br />

the artificial boundaries. In one case Dirichlet boundary conditions are applied<br />

at the gate electrode with T Gate=300 K and in the second case Neumann<br />

boundary conditions are applied at the gate electrode.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 195<br />

ISBN: 978-2-35500-010-2


III. TEMPERATURE AND THICKNESS DEPENDENT THERMAL<br />

CONDUCTIVITY MODEL<br />

In order to perform more realistic estimates of the current<br />

degradation using temperature and thickness dependent thermal<br />

conductivity model we follow the work of Sondheimer [2], that<br />

takes into account phonon boundary scattering (by assuming it<br />

to be purely diffusive). Namely, the thermal conductivity of a<br />

semiconductor film of a thickness a, under the assumption that<br />

the z-axis is perpendicular to the plane of the film, the surfaces<br />

of the film being at z=0 and z=a, is given by:<br />

π /2<br />

⎧<br />

3 ⎛ a ⎞ ⎛ a−2z<br />

⎞⎫<br />

κ() z = κ0() T sinθ 1−exp − cosh<br />

dθ<br />

(1)<br />

∫ ⎨ ⎜ ⎟ ⎜ ⎟⎬<br />

2()cos λT<br />

θ 2()cos λT<br />

θ<br />

0 ⎩ ⎝ ⎠ ⎝ ⎠⎭<br />

where λ(T) is the mean free path expressed as<br />

λ( T) = λ0<br />

(300/ T)<br />

nm where room temperature mean free<br />

path of bulk phonons is taken to be λ<br />

0<br />

= 290 nm.<br />

Selberherr [3,4] has parametrized the temperature<br />

dependence of the bulk thermal conductivity in the temperature<br />

range between 250K and 1000K. In our case we find that the<br />

appropriate expression is:<br />

135<br />

κ<br />

0( T ) = W/m/K<br />

(2)<br />

2<br />

a + bT + cT<br />

where a=0.03, b=1.56×10 -3 , and c=1.65×10 -6 . Eqs. (1) and (2)<br />

give almost perfect fit to the experimental and the theoretical<br />

data reported in an Asheghi paper [5] (see Figure 3).<br />

In Table 2 we compare electro-thermal simulation results<br />

for various models for two different device gate lengths (25 nm<br />

and 180 nm). Dirichlet boundary conditions are assumed on the<br />

gate and back contact (300K), and the other boundaries are<br />

treated as Neumann boundary conditions (no heat flow).<br />

Thermal conductivity (W/m/K)<br />

80<br />

60<br />

40<br />

experimental data<br />

full lines: BTE predictions<br />

dashed lines: empirical model<br />

thin lines: Sondheimer<br />

100nm<br />

50nm<br />

30nm<br />

20<br />

20nm<br />

300 400 500 600<br />

Temperature (K)<br />

Figure 3. Silicon film thickness dependence of the average thermal<br />

conductivity at T=300 K vs. active silicon layer thickness. Experimental data<br />

are taken from the work of Asheghi and co-workers [5].<br />

In Fig. 4, we show the temperature maps in the active<br />

region of the 25 nm and 180 nm channel length device with the<br />

full anisotropic and temperature dependent thermal<br />

conductivity model. Compared to earlier results [6], we find<br />

that the anisotropic and temperature dependent thermal<br />

conductivity model leads to higher lattice temperature profiles<br />

7-9 October 2009, Leuven, Belgium<br />

at the drain end of the channel and in the channel itself for<br />

larger device structures even though the current degradations<br />

are very similar. This makes the heat removal process from the<br />

drain contact more difficult.<br />

Table 2. Absolute values of the currents for: (1) bulk thermal conductivity<br />

model, (2) temperature-dependent bulk thermal conductivity model, (3)<br />

anisotropic thickness dependent thermal conductivity model, and (4)<br />

anisotropic thickness and temperature dependent thermal conductivity model.<br />

25nm FD SOI (V GS=V DS=1.2V) 180nm FD SOI (V<br />

Thermal<br />

GS=V DS=1.8V)<br />

Current (isothermal):1.824mA/um Current (isothermal):1.032mA/um<br />

conductivity<br />

Current<br />

Current<br />

Current<br />

Current<br />

model<br />

(mA/um) Decrease (%) (mA/um) Decrease (%)<br />

142.3 W/m/K 1.714 6.0 0.922 10.7<br />

κ bulk=κ bulk(T) 1.712 6.1 0.915 11.3<br />

anisotropic 1.698 6.9 0.887 14.0<br />

13 W/m/K 1.702 6.7 0.875 15.2<br />

2<br />

4<br />

6<br />

8<br />

10<br />

383K<br />

528K<br />

25 50 75<br />

500<br />

450<br />

400<br />

520<br />

20<br />

500<br />

480<br />

40<br />

450K<br />

539K 460<br />

60<br />

440<br />

420<br />

0 180 360 540<br />

Figure 4. Lattice temperature for a 25 nm channel length device (top)<br />

and a 180 nm gate-length device (bottom).<br />

ACKNOWLEDGMENT<br />

This work was supported in part by the Arizona Institute<br />

for NanoElectronics (AINE).<br />

REFERENCES<br />

[1] ITRS for FD SOI devices: http://public.itrs.net/ .<br />

[2] E. H. Sondheimer, “The Mean Free Path of Electrons in Metals”,<br />

Advances in Physics, Vol. 1, no. 1, Jan. 1952, reprinted in Advances in<br />

Physics, Vol. 50, pp. 499-537, 2001.<br />

[3] V. Palankovski and S. Selberherr, „Micro materials modeling in<br />

MINIMOS-NT“, Journal Microsystem Technologies, Vol. 7, pp. 183-<br />

187 November, 2001.<br />

[4] Silvaco Manual (www.silvaco.com).<br />

[5] W. Liu and M. Asheghi, “Thermal condition in ultrathin pure and doped<br />

single-crystal silicon layers at high temperatures”, J. Appl. Phys., Vol. 98,<br />

123523-1 (2005).<br />

[6] K. Raleva, D. Vasileska, S. M. Goodnick and M. Nedjalkov, “Modeling<br />

Thermal Effects in Nanodevices”, IEEE Transactions on Electron<br />

Devices, vol. 55, issue 6, pp. 1306-1316, June 2008.<br />

Biography of Dragica Vasileska:<br />

Dragica Vasileska (B.S.E.E. 1985, M.S.E.E. 1992, Ph.D. 1995). From 1995<br />

until 1997 she held a Faculty Research Associate position at ASU. In 1997 she<br />

joined the faculty of Electrical Engineering at ASU. Her research interests<br />

include semiconductor device physics and device modeling. Dr. Vasileska has<br />

published more than 120 journal publications.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 196<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Effects of Quantum Corrections and Isotope<br />

Scattering on Silicon Thermal Properties<br />

Javier V. Goicochea<br />

IBM Research GmbH, Zurich Research Laboratory, 8803 Rüschlikon, Switzerland<br />

Marcela Madrid<br />

Pittsburgh Supercomputing Center, Pittsburgh, PA 15213, USA<br />

Cristina Amon<br />

Department of Mechanical and Industrial Engineering, University of Toronto, Toronto, ON, M5S 1A4, Canada<br />

Abstract- A quantum correction procedure is proposed to<br />

correct silicon thermal properties estimated with molecular<br />

dynamics (MD). The procedure considers the energy<br />

quantization per mode basis and the anharmonic nature of the<br />

potential energy function (including the thermal expansion of<br />

the crystal) and is applied to reported thermal properties of<br />

silicon estimated with MD in ref. [11], such as temperature,<br />

specific heat and thermal conductivity. The procedure<br />

facilitates the use of these properties as input to faster<br />

numerical methods, such as those based on the Boltzmann<br />

transport equation under the single relaxation time<br />

approximation. In addition, the effect of isotope scattering is<br />

included in reported values of phonon-phonon relaxation times.<br />

The effects of the correction procedure and the scattering with<br />

isotopes are analyzed in terms of the change of phonon specific<br />

heat, mean free path and thermal conductivity. We have found<br />

that the application of quantum corrections yields a significant<br />

reduction in the contribution of high-frequency modes to the<br />

overall thermal conductivity. This contribution is further<br />

reduced by the inclusion of isotope scattering. At 220 K, the<br />

total contribution of optical modes reduces from 12.3 % (before<br />

quantum corrections) to 5.8 %; and to 2 % when the isotope<br />

scattering is also considered. The quantum corrections and the<br />

inclusion of isotope scattering are found to bring the estimated<br />

thermal conductivity into close agreement with experimental<br />

values. The relative contributions of the acoustic and optical<br />

modes after quantum corrections agrees very well with recently<br />

reported ab initio results.<br />

I. INTRODUCTION<br />

Several alternatives have been proposed to link MD with<br />

other continuum or sub-continuum models [1, 2]. Most<br />

approaches divide the macroscopic domain in regions where<br />

different numerical methods are employed. The different<br />

levels of interaction have been typically described using MD<br />

and finite element (FE) methods [1, 2]. In some cases,<br />

quantum-mechanics models are concurrently used to<br />

estimate unknown parameters required by MD. The link<br />

between these methods is achieved by defining a special<br />

interaction region (also called hand-shake region), where the<br />

FE-mesh overlaps with the atoms defined in MD. Usually, a<br />

special Hamiltonian is used to describe such interaction [2,<br />

3]. Problems like crack propagation, dynamic of fractures,<br />

nano-indentation and dislocation generation have been<br />

addressed by these methods. Conversely, neither of these is<br />

suitable for describing the sub-continuum heat transport in<br />

semiconductors. The common simplification of the<br />

interatomic potential and the assumption of long wave<br />

behavior (both required for solving the FE method), changes<br />

the scattering mechanisms of interacting heat carriers and<br />

affects the description of the heat transport in<br />

semiconductors. In addition, the assumption of long wave<br />

behavior neglects the existence of optical modes, which are<br />

important in describing sub-continuum heat transfer under<br />

self-heating conditions [4-9].<br />

For semiconductor materials, the sub-continuum heat<br />

transport has been studied by means of the Boltzmann<br />

transport equation (BTE) for phonons. Phonons are<br />

quantized lattice vibrations, subject to different scattering<br />

mechanisms that affect how the heat is transported in the<br />

crystalline structure [10]. The complexity of the scattering<br />

mechanisms has led to the development of phenomenological<br />

models whose thermal predictions depend on thermal<br />

properties difficult to estimate or measure in advance, such<br />

as phonon relaxation times and dispersion relations. To<br />

avoid this, MD simulations and the normal mode<br />

decomposition have been recently used to estimate all<br />

thermal properties of silicon required as input to the BTE,<br />

including phonon relaxation times, dispersion relations,<br />

group velocities and specific heat, at 300 and 1000 K [11].<br />

However to use these quantities, quantum corrections (QCs)<br />

are necessary since both methods interpret the physics of<br />

phonons differently.<br />

The main idea of QCs is to map the results obtained<br />

classically (using MD) onto their quantum analogs at the<br />

same energy level. In a quantum system, the phonon<br />

occupation number is a function of the temperature and<br />

frequency, and the energy is quantized in units of h ω . In a<br />

classical, the modes are equally excited regardless of the<br />

temperature, have an energy expectation value of about and<br />

are continuous functions of the instantaneous position and<br />

momentum of the particles in the system [12]. These<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 197<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

differences affect the values of the properties estimated<br />

using MD. At temperatures below the Debye’s temperature,<br />

quantum effects are particularly important due to the<br />

mode specific heat and thermal conductivity. We include the<br />

relaxation time associated with isotope scattering to our<br />

previously reported values for phonon-phonon scattering and<br />

freezing of high frequency modes, while at high analyze their effect over the mode thermal conductivity.<br />

temperatures ( T > θ D ) the predictions of both systems are Lastly, in section 5 a summary of results and conclusions is<br />

expected to converge [17]. The Debye’s temperature for given.<br />

silicon has been estimated to be 645 K [18].<br />

Unfortunately, the common procedure for applying the<br />

QCs (see Eqs. 1-3) has several limitations. These limitations<br />

can potentially impact the thermal predictions obtained with<br />

MD. First, the anharmonic nature of the potential energy<br />

function and the thermal expansion of the crystal are<br />

typically neglected. Second, there is an inconsistency when<br />

the MD results are compared directly with the experimental<br />

data after QCs. The inconsistency lies on the difference<br />

between the number of isotopes (atoms of the same element<br />

with different atomic mass) included in the MD simulation<br />

and the corresponding number in naturally occurring<br />

semiconductors. While natural silicon ( nat Si) has three stable<br />

isotopes ( 28 Si, 29 Si and 30 Si, in a proportion of 92.23%,<br />

4.67% and 3.1%, respectively), most MD simulations<br />

include only one. To compare the MD results with<br />

experimental data for nat Si, the isotope scattering should be<br />

included in the analysis. Third, the standard QCs procedure<br />

for thermal conductivity implicitly modifies individual mode<br />

contributions by a constant factor (i.e. given by cv / 3k<br />

B N ).<br />

The constant factor is applied regardless of the quantization<br />

of the energy as a function of frequency. The quantization of<br />

the energy can severely impact the contribution of phonon<br />

modes to the overall thermal conductivity. Lastly, although<br />

the intention to apply QCs is to compare the MD results with<br />

experimental values, the procedure relies only on the<br />

analytic estimation of the specific heat and does not include<br />

a solid connection with measurable properties. Other<br />

quantum correction procedures have been proposed [19], but<br />

have not been fully evaluated and cannot be applied to<br />

correct all thermal properties needed to solve the BTE.<br />

These limitations can potentially impact the applicability of<br />

the thermal predictions obtained from MD.<br />

In this work, a QC procedure is proposed and applied to<br />

correct the temperature, specific heat and thermal<br />

conductivity. The procedure considers the quantization of<br />

the energy per mode and addresses the limitations previously<br />

proposed QC methods. In addition, we analyze the effects of<br />

QCs and isotope scattering over the frequency-dependent<br />

specific heat and mode thermal conductivity. The new QC<br />

procedure is applied to the thermal properties reported in<br />

[11].<br />

The manuscript is structured as follows: In section 2, we<br />

review the standard procedure used to apply QCs to MD<br />

results. We review the scattering of phonons with isotopes<br />

and the expressions commonly used to calculate its<br />

associated relaxation time as a function of frequency. In<br />

section 3, we describe the equations and methodology<br />

followed to apply the new QC procedure. In section 4, we<br />

analyze the effects of the new QC over the temperature,<br />

II.<br />

LITERATURE REVIEW<br />

A. Standard Quantum Corrections<br />

The standard procedure to correct the temperature consists<br />

on equating the total energy of the classical system (LHS)<br />

with the corresponding in a quantum system (RHS) [14-16],<br />

such that<br />

3 N −1<br />

k T = ∑ h ω n + 1/ 2<br />

(1)<br />

( ) [ ]<br />

B<br />

MD<br />

m<br />

m<br />

m<br />

where, h / 2 represents the zero-point energy,<br />

ω m<br />

−<br />

[ exp( / k ) −1] 1<br />

nm = hω m BT<br />

is the phonon occupation<br />

number, T MD and T are the temperature of the molecular<br />

dynamics simulation and the QC temperature. Note that the<br />

occupation number is a function of phonon frequency ( ω )<br />

and temperature. In the equation the summation is taken over<br />

the m normal modes of the system. The QC temperature is<br />

determined by replacing the phonon occupation number<br />

expression in Eq. 1.<br />

The correction for the thermal conductivity is obtained<br />

assuming that the quantum heat flux is equal to that of a<br />

classical system [12, 14], as<br />

dT dTMD<br />

q = −k<br />

real = −k<br />

MD<br />

(2)<br />

dx dx<br />

Hence, to obtain the corrected thermal conductivity ( k ),<br />

the value of the MD thermal conductivity ( k MD ) is<br />

multiplied by the correction factor dT MD / dT , which can be<br />

calculated from Eq. 1,<br />

dTMD<br />

k = k MD<br />

(3)<br />

dT<br />

In Eq. 3, the factor dT MD / dT affects the overall value of<br />

thermal conductivity regardless of the contribution of each<br />

mode and is given by c / k N .<br />

B. Isotope Scattering<br />

v 3<br />

B<br />

For temperatures below 350 K, a significant increase in<br />

thermal conductivity has been reported as the concentration<br />

of impurities is reduced [22], such is the case of isotopically<br />

enriched 28 Si. Capinski et al. [22] reported an increase of the<br />

thermal conductivity of isotopically pure Si (i.e. 99.7 % of<br />

28 Si) over a temperature range of 100 - 375 K. At room<br />

temperature the increase in the thermal conductivity was<br />

reported to be 60 % for the isotope enriched silicon samples<br />

[22, 23]. However, as shown in Fig. 1, recent experimental<br />

evidence has revealed that such increase in the thermal<br />

conductivity of isotope enriched silicon might be lower than<br />

previously measured. At room temperature only an increase<br />

m<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 198<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

of 7 to 10 % has been found [24-26] .<br />

found using the experimental specific heat for nat Si [30]. Due<br />

to the lack of experimental specific heat data for single<br />

It is well known that the fluctuation in the mass silicon isotopes, the quantum corrected temperature is also<br />

distribution throughout a crystal produces thermal resistance obtained using the analytical expression for specific heat<br />

[27-29]. Klemens [29] and Carruthers [28] have suggested (both results are presented in Table I), given by [31]<br />

two similar expressions for the relaxation times associated to cv, a ( T ) =<br />

isotope scattering,<br />

∑ cv,<br />

a ( T,<br />

ωm<br />

)<br />

(7a)<br />

m<br />

−1<br />

4<br />

−1<br />

A 4<br />

τ imp = Aω and τ imp = ω<br />

(4)<br />

⎪⎧<br />

⎪⎫<br />

3<br />

2 exp( hωm<br />

/ kBT<br />

)<br />

v<br />

c ( )<br />

g<br />

v,<br />

a T = ∑ ⎨kB<br />

( h ωm<br />

/ kBT<br />

)<br />

⎬ (7b)<br />

2<br />

m<br />

⎪⎩<br />

[ exp( hωm<br />

/ kBT<br />

) −1]<br />

⎪ ⎭<br />

where, v g is the phonon group velocity and A is a fitted<br />

constant.<br />

where cv, a<br />

( T,<br />

ωm<br />

) is the mode contribution to the specific<br />

Thermal conductivity (W/m-K)<br />

400<br />

350<br />

300<br />

250<br />

200<br />

150<br />

Δk e<br />

= 16.8 %<br />

100<br />

200 210 220 230 240 250 260 270 280 290 300<br />

Temperature (K)<br />

nat Si - Ho et al. 1974<br />

nat Si - Capinski et al. 1997<br />

nat Si - Kremer et al. 2004<br />

28 Si - Capinski et al. 1997<br />

28 Si - Ruf et al. 2000<br />

28 Si - Gusev et al. 2002<br />

28 Si - Kremer et al. 2004 (Sample NN)<br />

Fig. 1 - Experimental values of thermal conductivity of natural and isotopeenriched<br />

silicon. Δ ke<br />

represents the reduction of the thermal conductivity<br />

due to isotope scattering measured in [25].<br />

III. METHODOLOGY<br />

To obtain the quantum corrected temperature, we modify<br />

the approach described in [14] to include the quantization of<br />

the energy per mode basis and the change of the dispersion<br />

relations. In [14], the thermal conductivity correction factor<br />

is written as,<br />

dT c T<br />

MD v,<br />

e(<br />

)<br />

= (5)<br />

dT 3( N −1)<br />

kB<br />

/ V<br />

where, dT MD / dT is now defined as the ratio between the<br />

experimental ( c v , e ) and the classical specific heats, N is the<br />

number of atoms in the simulation, k B is the Boltzmann<br />

constant. The relation between the molecular dynamics and<br />

corrected temperatures can be found integrating Eq. 5 with<br />

respect to temperature,<br />

V T<br />

*<br />

TMD ( T ) = cv, e(<br />

T ) dT + T<br />

3( N −1)<br />

k ∫<br />

(6)<br />

0<br />

B<br />

In this expression the integration constant * T is of the<br />

order of the Debye temperature [14]. The zero-point energy<br />

term is implicitly included since we are using the<br />

experimental specific heat. The corrected temperature (T ) is<br />

heat. In Eq. 7b, the summation is taken over all phonon<br />

modes in [100] and is computed using the dispersion relation<br />

previously determined using MD [11] at different<br />

temperatures, which include the effects of anharmonicity (i.e.<br />

thermal expansion and change of the dispersion relations).<br />

In addition, due to the difference between the experimental<br />

[12] and analytical dispersion relations at room temperature,<br />

it is expected that the experimental Debye temperature ( θ D )<br />

will be different from the one calculated using pair<br />

potentials, such as, Stillinger-Weber, Tersoff, EDIP, etc.<br />

Therefore, the integration constant in Eq. (6) is adjusted to<br />

include this difference, such that<br />

⎛<br />

max<br />

⎞<br />

* θ ⎜<br />

ω<br />

D<br />

a,<br />

k<br />

T =<br />

⎟<br />

∑<br />

(8)<br />

⎜ max<br />

6 ⎟<br />

k = 1,6 ⎝ ω e , k ⎠<br />

max max<br />

where, ω a,k and ω e,k are the maximum frequencies of the<br />

analytical and experimental dispersion relations for each<br />

branch. Based on our previous results obtained using the<br />

Stillinger-Weber potential [11], we found that the integration<br />

constant is T * =790.94 K. Eq. 8 would converge to the<br />

experimental Debye temperature if both dispersion relations<br />

(experimental and analytical) are equal.<br />

To obtain the correction for the thermal conductivity and<br />

specific heat, Eq. 3 is adjusted to include the quantization of<br />

the energy of each mode at T . In addition, the analytic<br />

specific heat is obtained using the dispersion relations<br />

determined using MD at the studied temperatures. The<br />

thermal conductivity is corrected considering that the<br />

specific heat depends on: i) the temperature, ii) the frequency<br />

(ω ) and iii) the ratio between the total experimental and<br />

analytical specific heats ( c T ) / c ( ) ), as<br />

k(<br />

T)<br />

c<br />

⎧<br />

( T)<br />

⎪4π<br />

⎨<br />

( T)<br />

⎪ 3<br />

⎩<br />

v , e ( v,<br />

a T<br />

= v , e<br />

∑ ∫<br />

c<br />

v,<br />

a<br />

In the equation, v g and p<br />

⎡ωm,max<br />

⎤⎫<br />

1 ⎛<br />

⎞<br />

⎢ ⎜<br />

vg<br />

⎟ ⎥⎪ a<br />

r ⎬<br />

( ) ⎢ ⎜<br />

v<br />

2<br />

c , ( T,<br />

ω)<br />

τ ω dω<br />

3<br />

2<br />

2π<br />

v ⎟ ⎥<br />

⎪ ⎭<br />

b,<br />

p ⎢⎣<br />

ωm,min<br />

⎝<br />

p ⎠ ⎥⎦<br />

… (9)<br />

v are the phonon group and phase<br />

velocities and τ r is the phonon relaxation time. The<br />

expression between curly brackets corresponds to the<br />

Tiwari’s thermal conductivity expression [32]. For<br />

simplicity, we assume that the thermal properties of the<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 199<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

silicon crystal are isotropic and equal to those for the [100] 1.2<br />

direction. The thermal conductivity obtained with Eq. 9 is<br />

1.1<br />

further corrected ( k'<br />

→ k /( π / 3)<br />

) to account for the real<br />

1<br />

volume of the first Brillouin zone.<br />

To include the isotope scattering, we find the value of the<br />

coefficient A of Eq. 4 that produces a reduction in the<br />

thermal conductivity equal to the one observed<br />

experimentally due to the presence of isotopes. As shown in<br />

Fig. 1, we compute the decrease of thermal conductivity at<br />

the quantum corrected temperature from [25], avoiding in<br />

this way mixing thermal conductivity values from different<br />

measuring techniques and equipments. The final expression<br />

of the phonon relaxation time, including phonon-phonon and<br />

impurity scattering, is written using the Matthiessen’s rule,<br />

−1 −1<br />

−1<br />

as: τ τ + τ .<br />

r<br />

= ph−<br />

ph imp<br />

c v<br />

/ k B<br />

0.9<br />

0.8<br />

0.7<br />

0.6<br />

0.5<br />

0.4<br />

TA<br />

LA<br />

Before QCs<br />

After QCs<br />

0.3<br />

0 2 4 6<br />

Frequency (rad/s)<br />

8 10 12<br />

x 10 13<br />

Fig. 2 - Mode contribution to the specific heat before and after quantum<br />

corrections at 220 K. Arrows indicate the frequency range of each mode.<br />

LO<br />

TO<br />

IV.<br />

RESULTS<br />

Quantum corrections. Table I shows the value of the<br />

quantum corrected temperature using the experimental<br />

specific heat for nat Si [30] and using the analytical expression<br />

for the specific heat (Eq. 7b), with T * = 790.94 K. Both<br />

estimates provide similar results. At T MD = 300 K, the<br />

corrected temperature is roughly 220 K, while at 1000 K the<br />

temperature quantum corrections are negligible.<br />

TABLE I<br />

Quantum corrected temperature (Eq. 6)<br />

T MD (K) T (K)<br />

Experimental<br />

Analytical<br />

300 218.5 220.6<br />

1000 1011.3 1006.5<br />

Quantum corrections also affect the behavior of the<br />

contribution of each mode to the specific heat with<br />

frequency. Fig. 2 shows the contribution of each mode as a<br />

function frequency at T MD = 300 K. As expected, before<br />

QCs the mode contribution obtained MD is independent of<br />

the frequency, however, when the quantization of the energy<br />

is considered and QCs are applied, the contribution of each<br />

mode decreases as their frequency increases. The quantum<br />

corrected mode contribution starts at almost the same value<br />

of the corresponding for the classical anharmonic system<br />

( c v / k B = 1), however, the difference becomes larger at<br />

higher frequencies. This is also expected for real systems<br />

where c v / k B →1<br />

as ω → 0 and c v / k B < 1 as ω > 0 . In the<br />

figure, the small shift in the specific heat value observed at<br />

zero-frequency is produced due to the difference between the<br />

experimental and analytic specific heats ( cv , e( T ) / cv,<br />

a(<br />

T ) ).<br />

Fig. 3 shows the contribution for each mode to the thermal<br />

conductivity as a function of the frequency in the [100]<br />

direction. Eq. 9 has been arranged such that,<br />

ωm,max<br />

k<br />

k ω dω<br />

(10)<br />

= ∑∫<br />

m<br />

ω<br />

m,min<br />

m<br />

( )<br />

It is observed that the contribution of each mode is<br />

substantially affected by the correction of the specific heat<br />

and decreases as the frequency of the modes increases. This<br />

is evident for the LO mode, whose contribution near the<br />

Brillouin zone before QCs is comparable to that of the<br />

acoustic modes, but reduces significantly after QCs are<br />

applied. Before quantum corrections, the contribution of the<br />

TA and LA modes to the total thermal conductivity is<br />

approximately 33.9% and 55.9%, respectively [11]. As<br />

shown in Table II, when the proposed QCs are applied, the<br />

quantization of the energy changes both the relative<br />

contribution of each mode and overall value of the thermal<br />

conductivity. This is not the case when the standard<br />

procedure is applied. The standard procedure only modifies<br />

the overall value of thermal conductivity by a factor equal to<br />

c v, a(<br />

T ) / 3( N − 1)<br />

kB<br />

. However, the relative contribution of<br />

the different modes remains the same.<br />

k m<br />

(ω) (W/m-K*s/rad)<br />

Before QCs<br />

4<br />

After QCs<br />

3.5<br />

3<br />

LA<br />

2.5<br />

2<br />

1.5<br />

TA<br />

LO<br />

1<br />

0.5<br />

TO<br />

0<br />

0 2 4 6 8 10 12<br />

4.5 x 10-12 Frequency (rad/s)<br />

x 10 13<br />

Fig. 3 - Mode contribution to thermal conductivity before and after QCs.<br />

As noted in Fig. 3, the specific heat of high-frequency<br />

modes is substantially affected. This translates in a reduction<br />

of the thermal conductivity values for the LA, LO and TO<br />

modes, while the value for TA mode remains almost the<br />

same. The contribution of the acoustic modes increases to<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 200<br />

ISBN: 978-2-35500-010-2


From<br />

7-9 October 2009, Leuven, Belgium<br />

TABLE II<br />

Overall thermal conductivity and relative mode contribution before and after QCs at T = 220 K<br />

Factor<br />

Factor<br />

value<br />

MD n/a n/a<br />

+Standard QCs<br />

+Proposed QCs<br />

c<br />

v,<br />

a<br />

( T )<br />

3( N −1)<br />

k<br />

c<br />

c<br />

v , e<br />

v,<br />

a<br />

( T )<br />

( T )<br />

B<br />

0.645<br />

1.037<br />

k<br />

TA<br />

(W/m-K)<br />

128.615<br />

(33.87%)<br />

82.932<br />

(33.87%)<br />

125.303<br />

(38.62%)<br />

k<br />

LA<br />

(W/m-K)<br />

212.151<br />

(55.87%)<br />

136.797<br />

(55.87%)<br />

180.660<br />

(55.67%)<br />

k<br />

LO<br />

(W/m-K)<br />

35.800<br />

(9.43%)<br />

23.084<br />

(9.43%)<br />

17.310<br />

(5.33%)<br />

Total 94.29 % 5.71 %<br />

k<br />

k ' = k *(3/ π )<br />

TO<br />

(W/m-K) (W/m-K)<br />

3.092<br />

(0.82%)<br />

362.549<br />

1.994<br />

(0.82%)<br />

1.218<br />

(0.38%)<br />

233.773<br />

309.866<br />

94.29 % (i.e. an increase of 4.54 % from the values before<br />

QCs), while the contribution of the optical modes becomes<br />

5.71 %. The contribution of the LO and TO modes reduces<br />

from 9.43 % and 0.82 % before QCs to 5.33 % and 0.38 %<br />

after QCs, respectively, and the TA mode increases from 33.87<br />

to 38.62 %. These results are in excellent agreement with<br />

recent ab initio predictions [33], in which acoustic modes<br />

provide 95% of the contribution to the thermal conductivity<br />

and that the contribution of LA is higher than that from the TA<br />

mode.<br />

Isotope scattering. Based on the experimental data for nat Si<br />

and 28 Si [25] at the corrected temperature, the reduction in the<br />

thermal conductivity is estimated to be 16.80 % (measured<br />

relatively to the nat Si thermal conductivity). Table III shows the<br />

MD thermal conductivity before and after QCs including the<br />

isotropic scattering term. Before QCs are applied, the deviation<br />

of the MD-predicted thermal conductivity with respect to the<br />

experimental value is 44.48 % for 28 Si. When QCs and the<br />

isotope scattering are applied this difference reduces to 23.48<br />

and 13.94 % respectively.<br />

TABLE III<br />

Thermal conductivity before and after isotopic scattering at T = 220 K<br />

From<br />

k<br />

k<br />

k<br />

−1*100<br />

−1*100<br />

(W/m-K) ke( 28 nat<br />

Si)<br />

ke(<br />

Si)<br />

MD 362.5 44.48 %<br />

+QC 309.9 23.48 %<br />

+ISO 265.3 13.94 %<br />

k ( 28 e Si) = 250.934 W/m-K [25], ke ( Si)<br />

= 232.844 W/m-K [21], k e<br />

:<br />

experimental thermal conductivity.<br />

The inclusion of the isotope scattering term modifies all<br />

properties that depend on the phonon relation times. Although,<br />

both isotope scattering expressions (Eq. 4) lead to the same<br />

value of thermal conductivity, the relative contributions of the<br />

modes change. The contribution of the optical modes<br />

decreases, while the on from the acoustical modes increases.<br />

The acoustical modes contribute 97.6 % when the velocity term<br />

is neglected and 98.5 % when is included. Additionally, the<br />

contribution of optical modes becomes almost negligible (less<br />

that 2.4 %).<br />

Fig. 4 shows the mode thermal conductivity as a function of<br />

frequency. In terms of frequency, the isotope scattering lowers<br />

significantly the contribution of optical modes. For both<br />

scattering terms, the contribution of the LO mode reduces<br />

more than half, while the one from TO becomes negligible<br />

(less than 0.01 %). At the same time, the mode thermal<br />

conductivity of the TA does not experience a significant<br />

change, while the LA changes as the frequency increases.<br />

k m<br />

(ω) (W/m-K*s/rad)<br />

Before isotope scattering<br />

4 Isotope scattering: A*ω 4 3 x 10-13 LO<br />

Isotope scattering: A/v *ω 4 2.5<br />

3.5<br />

g<br />

2<br />

3<br />

1.5<br />

1<br />

TO<br />

2.5<br />

LA<br />

0.5<br />

2<br />

0.95 1 1.05 1.1<br />

x 10 14<br />

1.5<br />

1<br />

TA<br />

LO<br />

0.5<br />

TO<br />

0<br />

0 2 4 6 8 10 12<br />

4.5 x 10-12 Frequency (rad/s)<br />

x 10 13<br />

Fig. 4 - Contribution to the thermal conductivity of the TA, LA, LO and TO<br />

modes before (solid thick line) and after isotope scattering.<br />

V. HIGH TEMPERATURE IMPLICATIONS<br />

T ≥ θD<br />

At high temperatures (<br />

), both the quantization of<br />

the energy and the presence of isotopes are expected to have<br />

a minor effect on the reduction of the thermal conductivity.<br />

Note that the contribution to the thermal conductivity from<br />

the high frequency modes as the temperature of the system<br />

is increased would progressively become similar to the one<br />

estimated with MD (before QCs).<br />

VI. SUMMARY AND CONCLUSIONS<br />

In this work a new quantum correction procedure was<br />

proposed to correct silicon thermal properties obtained from<br />

molecular dynamics. The procedure considers the energy<br />

quantization per mode basis and the anharmonic nature of<br />

the potential energy function, and involves the use of<br />

experimental or analytical specific heat values. In addition,<br />

the effect of isotope scattering was analyzed in terms of the<br />

change of the mode thermal conductivity.<br />

In the standard quantum correction procedure, the specific<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 201<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

heat is corrected by a factor defined as the ratio of the<br />

quantum and classic energies ( c v, a(<br />

T ) / 3( N − 1)<br />

kB<br />

) while the<br />

quantization of the energy leads to changes on the<br />

contribution of each mode to the specific heat with<br />

frequency. These are more severe as the frequency of the<br />

modes increases. The changes in the specific heat modify the<br />

individual contribution of the modes to the overall thermal<br />

conductivity of the crystal. After the new quantum correction<br />

procedure is applied, the relative contribution of acoustic<br />

modes to the overall thermal conductivity becomes 94.29 %<br />

(being k LA > kTA<br />

, see Tables II-IV). This result compares<br />

very well with recent ab initio calculations [33], which<br />

indicate that acoustic modes contribute about 95 % to the<br />

total thermal conductivity and that the contribution of LA<br />

modes is higher than that from TA modes. In addition, it is<br />

found that the proposed QC alternative improves the thermal<br />

conductivity prediction when compared with experimental<br />

results for nat Si and 28 Si. Despite the variability of the<br />

reported thermal conductivity for isotopically enriched 28 Si,<br />

our conservative assumption of 16.80 % reduction in the<br />

thermal conductivity, leads to a reasonable agreement with<br />

experimental results for nat Si.<br />

Lastly, when isotope scattering is included, the<br />

contribution to the thermal conductivity of the LO and TO<br />

modes is further reduced, especially when the group velocity<br />

is considered. Most of the contributions to the thermal<br />

conductivity still come from the LA and TA modes. At high<br />

temperatures, when all modes are thermally excited and the<br />

system behaves classically, the effects of the energy<br />

quantization and the isotope scattering are negligible.<br />

ACKNOWLEDGMENT<br />

The authors gratefully acknowledge the funding of the<br />

National Science Foundation grant CTS-0103082, the<br />

Pennsylvania Infrastructure Technology Alliance (PITA) and<br />

NANOPACK.<br />

REFERENCES<br />

[1] Rudd, R. E., and Broughton, J. Q., 1998, "Coarse-Grained Molecular<br />

Dynamics and the Atomic Limit of Finite Elements," Phys. Rev. B,<br />

58(10), pp. R5893-R5896.<br />

[2] Xiao, S. P., and Belytschko, T., 2004, "A Bridging Domain Method for<br />

Coupling Continua with Molecular Dynamics," Comput. Methods Appl.<br />

Mech. Engrg., 193, pp. 1645-1669.<br />

[3] Klein, P. A., and Zimmerman, J. A., 2006, "Coupled Atomistic-<br />

Continuum Simulations Using Arbitrary Overlapping Domains," Journal<br />

of Computational Physics, 213, pp. 86-116.<br />

[4] Escobar, R., and Amon, C., 2007, "Influence of Phonon Dispersion on<br />

Transient Thermal Response of Silicon-on-Insulator Transistors Under<br />

Self-Heating Conditions," Journal of Heat Transfer, 129(7), pp. 790-797.<br />

[5] Mazumder, S., and Majumdar, A., 2001, "Monte Carlo Study of Phonon<br />

Transport in Solid Thin Films Including Dispersion and Polarization,"<br />

ASME Journal of Heat Transfer, 123, pp. 749-759.<br />

[6] Narumanchi, S. V. J., Murthy, J. Y., and Amon, C. H., 2006, "Boltzmann<br />

Transport Equation-Based Thermal Modeling Approaches for Hotspots in<br />

Microelectronics," Heat and Mass Transfer, 42(6), pp. 478-491.<br />

[7] Pop, E., Sinha, S., and Goodson, K., 2006, "Heat Generation and<br />

Transport in Nanometer-Scale Transistors," Proceedings of the IEEE,<br />

94(8), pp. 1587-1601.<br />

[8] Sverdrup, P., Sinha, S., Asheghi, M., Uma, S., and Goodson, K., 2001,<br />

"Measurement of Ballistic Phonon Conduction Near Hotspots in Silicon,"<br />

Appl. Phys. Lett., 78, pp. 3331-3333.<br />

[9] Sinha, S., Schelling, P. K., Phillpot, S. R., and Goodson, K. E., 2005,<br />

"Scattering of g-Process Longitudinal Optical Phonons at Hotspots in<br />

Silicon," Journal of Applied Physics, 97(2), pp. 023702 (1-9).<br />

[10] Klemens, P. G., 1969, Thermal Conductivity, Academic Press, London,<br />

Theory of Thermal Conductivity of Solids.<br />

[11] Goicochea, J. V., Madrid, M., and Amon, C., 2009, "Thermal Properties<br />

for Bulk Silicon Based on the Determination of Relaxation Times Using<br />

Molecular Dynamics," ASME Journal of Heat Transfer (in press), HT-<br />

08-1206.<br />

[12] Mcgaughey, A. J., and Kaviany, M., 2004, "Quantitative Validation of<br />

the Boltzmann Transport Equation Phonon Thermal Conductivity Model<br />

Under the Single-Mode Relaxation Time Approximation," Physical<br />

Review B, 69(9), pp. 094303(1-11).<br />

[13] Goicochea, J. V., Madrid, M., and Amon, C., 2008, "Hierarchical<br />

Modeling of Heat Transfer in Silicon-Based Electronic Devices,"<br />

Orlando, FL., pp. 1006 - 1017.<br />

[14] Gomes, C., Madrid, M., Goicochea, J. V., and Amon, C., 2006, "In-<br />

Plane and Out-of-Plane Thermal Conductivity of Silicon Thin Films<br />

Predicted by Molecular Dynamics," Journal of Heat Transfer, 128(11),<br />

pp. 1114-1121.<br />

[15] Lee, Y. H., Biswas, R., Soukoulis, C. M., Wang, C. Z., Chan, C. T., and<br />

Ho, K. M., 1991, "Molecular-Dynamics Simulation of Thermal<br />

Conductivity in Amorphous Silicon," Phys. Rev. B, 43(8), pp. 6573-<br />

6580.<br />

[16] Volz, S. G., and Chen, G., 2000, "Molecular-Dynamics Simulation of<br />

Thermal Conductivity of Silicon Crystals," Physical Review B, 61(4),<br />

pp. 2651-2656.<br />

[17] Ziman, J., 1960, Electrons and Phonons: The Theory of Transport<br />

Phenomena in Solids, The International Series of Monographs on<br />

Physics, Oxford, Clarendon Press, New York.<br />

[18] Volz, S. G., and Chen, G., 1999, "Molecular Dynamics Simulation of<br />

Thermal Conductivity of Silicon Nanowires," Applied Physics Letters,<br />

75(14), pp. 2056-2058.<br />

[19] Li, J., 2000, "Modeling Microstructural Effects on Deformation<br />

Resistance and Thermal Conductivity," Ph.D. thesis, MIT,<br />

Massachusetts.<br />

[20] Ho, C. Y., Powell, R. W., and Liley, P. E., 1972, "Thermal Conductivity<br />

of the Elements," Journal of Physical and Chemical Reference Data,<br />

1(2), pp. 279-421.<br />

[21] Ho, C. Y., Powell, R. W., and Liley, P. E., 1974, "Thermal Conductivity<br />

of the Elements," Journal of Physical and Chemical Reference Data,<br />

3(Suppl. 1), pp. 796.<br />

[22] Capinski, W. S., Maris, H. J., Beuser, E., Silier, I., Asen-Palmer, M.,<br />

Ruf, T., Cardona, M., and Gmelin, E., 1997, "Thermal Conductivity of<br />

Isotopically Enriched Si," Applied Physics Letters, 71(15), pp. 2109-<br />

2111.<br />

[23] Ruf, T., and Henn, R. W., 2000, "Thermal Conductivity of Isotropically<br />

Enriched Silicon," Solid State Communication, 115, pp. 243-247.<br />

[24] Gusev, A. V., Gibsin, A. M., Morozkin, O. N., Gavva, V. A., and Mitin,<br />

A. V., 2002, "Thermal Conductivity of 28 Si from 80 to 300 K," Inorganic<br />

Materials, 38(11), pp. 1100-1102.<br />

[25] Kremer, R. K., Graf, K., Cardona, M., Devyatykh, G. G., Gusev, A. V.,<br />

Gibsin, A. M., Inyushkin, A. V., Taldenkov, A. N., and Pohl, H.-J.,<br />

2004, "Thermal Conductivity of Isotopically Enriched 28 Si: revisited,"<br />

Solid State Communications, 131, pp. 499-503.<br />

[26] Morelli, D. T., Hermans, J., Sakamoto, M., and Uher, C., 1986,<br />

"Anisotropic Heat Conduction in Diacetylenes," Physical Review<br />

Letters, 57, pp. 869-872.<br />

[27] Brüesch, P., 1987, Phonons: Theory and Experiments III, Solid-State<br />

Sciences, Springer-Verlag, Berlin and Heidelberg.<br />

[28] Carruthers, P., 1961, "Theory of Thermal Conductivity of Solids at Low<br />

Temperatures," Reviews of Modern Physics, 33(1), pp. 92-138.<br />

[29] Klemens, P. G., 1958, Solid State Physics, Academic Press, New York,<br />

Thermal Conductivity and Lattice Vibrational Modes.<br />

[30] Desai, P. D., 1986, "Thermodynamic Properties of Iron and Silicon,"<br />

Journal of Physical and Chemical Reference Data, 15(3), pp. 967-083.<br />

[31] Brüesch, P., 1982, Phonons: Theory and Experiments I, Solid-State<br />

Sciences, Springer-Verlag, Berlin and Heidelberg.<br />

[32] Tiwari, M. D., and Agrawal, B. K., 1971, "Analysis of the Lattice<br />

Thermal Conductivity of Germanium," Phys. Rev. B, 4(10), pp. 3527-<br />

3532.<br />

[33] Broido, D. A., Malorny, M., Birner, G., Mingo, N., and Stewart, D. A.,<br />

2007, "Intrinsic Lattice Thermal Conductivity of Semiconductors from<br />

First Principles," Appl. Phys. Lett. , 91(231922), pp. 1-3.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 202<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Directional Thermal Conductivity of a Thin Si Suspended<br />

Membrane with Stretched Ge Quantum Dots<br />

Jean-Numa Gillet, ∗ Bahram Djafari-Rouhani, Yan Pennec<br />

Université de Lille 1, Institut d'Electronique de Microélectronique et de Nanotechnologie (IEMN, CNRS UMR 8520),<br />

Département de Physique, Av. Poincaré, BP 60069, 59652 Villeneuve d'Ascq cedex, France (www.iemn.univ-lille1.fr);<br />

Members of the European Consortium NANOPACK<br />

Abstract-We model a nanomaterial showing a hybrid<br />

thermal behavior between dissipative and insulating<br />

regimes. The nanomaterial is made up of a thin Si<br />

suspended membrane covered by self-assembled Ge<br />

quantum dots (QDs) with facets. A membrane plane is<br />

constituted from the orthogonal [100] and [001]<br />

directions (x and z, respectively). The QDs are stretched<br />

in [001] forming nanoscale phonon waveguides. When<br />

hot and cold junctions are connected to the membrane<br />

following [001], the throughput thermal conductivity λ<br />

shows a significant exaltation with respect to the in-plane<br />

orthogonal direction [001] where QD constriction is<br />

defined. This property can be used for the design of<br />

nanoscale dissipaters to remove heat in only one main<br />

direction. Indeed, low leakage heat currents are<br />

obtained in other directions so that they cannot affect<br />

thermal budget in other parts of a device to cool as a<br />

silicon chip. In our theoretical model, a deflection angle<br />

β is taken in a membrane plane from the axis x. The<br />

anisotropic thermal conductivity is analyzed as a<br />

function of β. In an example molecular-scale device, λ<br />

can be exalted by 4 to 5 folds, or from 0.7 to 2.9 W/m/K,<br />

when β is increased from 0° (x) to 90° (z), respectively.<br />

Therefore, the QD-waveguide nanomaterial presents a<br />

different thermal insulating behavior in the direction<br />

[100] and can as well be used for the design of both<br />

dissipative and thermoelectric devices. The transition<br />

between both contra effects is obtained for the in-plane<br />

close-packed directions .<br />

Keywords: Heat dissipation, Thermoelectrics, Nanoscale<br />

devices, Quantum dots, Silicon, Germanium<br />

I. INTRODUCTION<br />

The design of nanostructured semiconducting<br />

devices with optimized thermal properties and indirect<br />

electronic band gap (as those using the Si/Ge IV-IV<br />

∗ Corresponding author’s email: jean-numa.gillet@univ-lille1.fr<br />

couple) is currently one of the major challenges for onchip<br />

cooling in nanoscale silicon-based architectures [1].<br />

These thermal nanodevices should enable continuation of<br />

the historical integration pace given by the Moore's law<br />

in CMOS microelectronics.<br />

With the fast and spectacular development of<br />

nanotechnology, self-assembly became a major<br />

technology for bottom-up fabrication of threedimensional<br />

(3D) nanostructured devices for various<br />

applications in drug design, biotechnologies, electronics<br />

and photonics, for instance [2-5]. Epitaxial self-assembly<br />

has been used to design germanium quantum-dot (QD)<br />

arrays in silicon [6,7]. The Ge QDs stand on or are<br />

sandwiched between diamond-cubic (dc) Si thin layers.<br />

In the classical Stranski-Krastanov growth mode, a thin<br />

wetting Ge layer is grown by epitaxy in the vertical<br />

direction with respect to a Si {010} substrate as<br />

investigated by experimentalists to fabricate twodimensional<br />

(2D) arrays of self-assembled (SA) Ge<br />

islands forming QDs with facets on Si. 3D ordering of a<br />

SA Ge-QD array in a Si matrix can be obtained,<br />

thereafter, by propagation of the stress field from a<br />

bottom layer to the superposed layers. To obtain sharper<br />

Ge QDs with lower size dispersion, more sophisticated<br />

technologies as e-beam and focus ion beam can be used.<br />

3D QD Ge/Si nanocomposites were used for quantum<br />

applications (as single-electron or single-photon devices,<br />

e.g.) and for solar-energy conversion.<br />

Gillet et al. recently presented theoretical studies of<br />

3D SA Ge-QD supercrystals in Si for the design of<br />

crystalline thermoelectric (TE) devices that can be<br />

CMOS-compatible [8,9]. These Si/Ge supercrystals can<br />

present an extreme reduction of the thermal conductivity<br />

λ that can be lower than only 0.04 W/m/K (i.e. less than<br />

twice the value of air) for different size parameters and<br />

Ge concentrations [9,10]. The aim of modeling this 3D<br />

Si/Ge supercrystal was to obtain λ as tiny as possible<br />

since the energy-conversion efficiency of a TE material is<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 203<br />

ISBN: 978-2-35500-010-2


an increasing function of its TE figure of merit ZT.<br />

Indeed, the non-dimensional ZT is given by ZT = S 2 σ T /<br />

λ, where S, σ and T denote the Seebeck coefficient,<br />

electrical conductivity and absolute temperature,<br />

respectively [11,12]. Therefore, ZT is inversely<br />

proportional to λ but directly proportional to the power<br />

factor S 2 σ. Discovery of a material with ZT higher than 3<br />

would result in a TE yield that is higher than 42 % of the<br />

Carnot efficiency for hot and cold junctions at 800 K and<br />

300 K, respectively [9,12]. Achievement of such a dream<br />

would have an enormous impact for energy conversion<br />

and renewable energies.<br />

In this theoretical study, we investigate a novel type<br />

of thermal membranous nanomaterials that is made up of<br />

a thin dc Si membrane covered by stretched SA Ge QDs<br />

with facets. The QDs are stretched in the [001] direction<br />

with respect to the dc symmetry to form phonon<br />

waveguides. The length L of the QD waveguides in the<br />

stretching direction [001] is defined as larger than the<br />

average phonon mean free path (MFP) in Si given by Λ 0<br />

∼ 100 nm. In contrast, the QDs are constricted in the<br />

orthogonal in-plane direction [100] with a bottom basis<br />

B 0 that is several folds smaller than Λ 0 .<br />

The anisotropic thermal conductivity λ of the QD<br />

device is obtained in a membrane plane as a function of<br />

the deflection angle β taken with respect to the axis x<br />

parallel to the QD constriction direction [100]. The<br />

throughput λ, when hot and cold junction are connected<br />

to both sides of the membrane, is computed with 3D<br />

lattice dynamics for β = 0° to β = 90°. The latter value is<br />

related to the axis z parallel to the QD stretching direction<br />

[001]. The discrete supercell to be encoded to obtain the<br />

phonon dispersion curves is taken as a molecular slab of<br />

the nanomaterial. Since L > Λ 0 , the slab has an out-ofequilibrium<br />

width given by the Si lattice parameter a =<br />

0.5431 nm in the direction z. To respect the dc<br />

symmetry, four molecular planes with the same Miller<br />

indices (001) have to be used to define the supercell slab.<br />

The dispersion curves, computed by lattice dynamics<br />

[13-15], show flat behaviors in the direction x owing to<br />

QD constriction. Consequently, low phonon group<br />

velocities are obtained in this direction leading to a small<br />

throughput λ. In contrast, the slopes of the dispersion<br />

curves are usually higher in the direction z so that the<br />

stretched QDs form nanoscale phonon waveguides with<br />

axes parallel to z. Indeed, the QD average length L is<br />

large in z with L > Λ 0 . As a result, the throughput λ is<br />

much larger in the direction z with respect to that x.<br />

When hot and cold junctions are connected to the<br />

membrane following z, the QD-waveguide nanomaterial<br />

can be used for the design of efficient unidirectional<br />

thermal interface devices for heat sinking. Indeed, the<br />

leakage heat currents, which might affect thermal budget<br />

7-9 October 2009, Leuven, Belgium<br />

of other parts of a device to cool, are small owing to the<br />

much smaller throughput λ in the in-plane orthogonal<br />

direction x. The proposed membranous material presents<br />

a hybrid behavior between thermal dissipation and<br />

insulation. The operation regime depends on the heatflux<br />

direction determined by the hot and cold junctions<br />

with a connection angle β with respect to x.<br />

Consequently, the same nanomaterial can be applied to<br />

the design of heat sinkers and dissipaters as well as TE<br />

generators and coolers.<br />

In the following sections, using an example<br />

molecular-scale QD-waveguide nanomaterial, we show<br />

exaltation of the throughput λ by a significant factor of 4<br />

to 5 folds (i.e. from 0.7 to 2.9 W/m/K) when the<br />

connection angle β is increased from 0° to 90°,<br />

respectively. The thermal transition between the<br />

insulating and dissipative regimes is obtained for β = 45°<br />

that is related to the in-plane close-packed direction<br />

of the Si membrane.<br />

II.<br />

MODEL<br />

To keep a membrane-like geometry, which is<br />

responsible of directional effects on the thermal<br />

conductivity, we set the length L of the stretched Ge QDs<br />

in the in-plane direction z, or [001], as being of the order<br />

or larger than the average MFP Λ 0 ∼ 100 nm of the<br />

phonons in bulk dc Si, as depicted in Fig. 1(a). The QD<br />

bottom basis B 0 in the in-plane x direction, or [100], is<br />

defined as being several folds lower than Λ 0 . Therefore,<br />

the QDs are stretched in the z direction with respect to the<br />

orthogonal direction x so that they form phonon<br />

waveguides. In the continuous-medium representation of<br />

Fig. 1(b), the top basis of the QDs is denoted by B 1 while<br />

d x is the length of a nanomaterial supercell in the QDconstriction<br />

direction x. In the non-repetitive direction y,<br />

or [010], the height of the dc Si membrane is h while that<br />

of the Ge QDs is H.<br />

The throughput thermal conductivity λ of the<br />

suspended nanomaterial is computed from the dispersioncurve<br />

diagram in a range from 0 to ∼ 20 THz using 3D<br />

lattice dynamics and an incoherent approach of the<br />

phonon scattering relaxation times. A discrete model is<br />

derived from a supercell slab of the continuous-medium<br />

representation sketched in Fig. 1(b). As shown in Fig. 2<br />

where the red and blue dots denote the locations of Si and<br />

Ge atoms, respectively, we encode a discrete supercellslab<br />

model at the molecular scale for lattice-dynamics<br />

calculations. Since L ≥ Λ 0 ≥ B 0 , the width in z of the<br />

supercell slab, which is parallel to the crystallographic<br />

plane with the Miller indices (001), can be taken as equal<br />

to the Si lattice parameter a = 0.5431 nm. Since the dc<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 204<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

crystals form a subset of the face-centered cubic (fcc)<br />

group with a basis 2, four molecular planes with the<br />

coordinates z = 0, z = a/4, z = a/2 and z = 3a/4 (before<br />

binding energy relaxation) have to be utilized to define<br />

the supercell slab as sketched in Fig. 2(a). The slab<br />

section at z = 0 is displayed in Fig. 2(b). Since the space<br />

domain is quantized with an interatomic lattice constant<br />

a, the lengths d x = n x a, h = n y a, B 0 = μ a, B 1 = m x a, and<br />

H = m y a are obtained from the integer size parameters n x ,<br />

n y , μ, m x , and m y , respectively In the four planes with the<br />

same Miller indices (001), the two QD facets are<br />

assumed to follow close-packed directions of the<br />

fcc crystals. As a result, they show a 45° deflection angle<br />

with respect to the height axis y in Figs. 2(a) and 2(b).<br />

From the precedent, the reduced bottom basis m of a QD<br />

has to respect the equality μ = 2(m y -1) + m x . In this<br />

theoretical study, we analyze an example hybrid<br />

nanomaterial with the integer size parameters n x = 20, n y<br />

= 4, m x = 5 and m y = 4 so that μ = 11. Since phonon<br />

scattering is mainly incoherent for T > 5 K, the basis B 0<br />

of the QDs can be modified and their centers offset (with<br />

respect to the supercell median plane) by a fraction of the<br />

quantity d x /2. Consequently, the overall thermal<br />

conductivity of the altered system in x will remain (in<br />

average) close to that of the periodic system. We also<br />

note that the individual QD lengths in z can be quite<br />

different if the inequality L > Λ 0 holds.<br />

The throughput λ has to be minimized or maximized<br />

for the hybrid thermal nanomaterial to operate in either<br />

insulation or dissipation regimes. Getting one or the<br />

other of these contra effects depends on the in-plane<br />

propagation direction, with a deflection angle β with<br />

respect to the membrane longitudinal axis x, as shown in<br />

Fig. 1(b). For a material with a sufficient number of Ge<br />

QDs, an anisotropic thermal conductivity λ β can be<br />

physically defined as a function of the angle β. This is<br />

the case when (i) the membrane is cleaved to form two<br />

sides in a Miller plane parallel to the direction given by β<br />

and (ii) hot and cold reservoirs are connected to the two<br />

other membrane sides. For instance, the device in Fig.<br />

1(a) is for a membrane with β = 90° since hot and cold<br />

reservoirs are connected through the direction z while the<br />

two lateral sides have to be cleaved with respect to the<br />

(100) Miller plane (orthogonal to the x direction). When<br />

β = 90°, the throughput λ is maximal since the QDs are<br />

stretched in the z direction with L > Λ 0 to form phonon<br />

waveguides. This case is different from that with β = 0°<br />

in the QD-constriction direction x where the throughput λ<br />

is minimal.<br />

The key point to understand the directional effects in<br />

our hybrid nanomaterial is to study the curve of the<br />

anisotropic thermal conductivity λ β vs. β taken from 0° (x<br />

direction) to 90° (z direction).<br />

Si chip @ T h<br />

(a)<br />

(b)<br />

z [001]<br />

y [010]<br />

SA stretched Ge QDs<br />

L av Si thin membrane<br />

y<br />

z [001]<br />

B 1<br />

B 0<br />

dc-Si<br />

Si<br />

dc-Ge<br />

β<br />

d x<br />

x [100]<br />

Si chip @ T c<br />

Continuous supercell<br />

Fig. 1 (colors). Hybrid insulating/dissipative nanomaterial:<br />

(a) Cross section in (y, z) if the membrane is connected between hot<br />

and cold reservoirs in the [001] direction (z) where heat dissipation<br />

is maximal (W av being the average length of the QDs in z); (b)<br />

Continuous-medium scheme of a nanomaterial supercell where β is<br />

the average angle of the heat flux in a membrane plane with respect<br />

to the [100] direction (x) showing constriction of the QDs. The<br />

inequalities B 1 ≤ B 0 < Λ 0 have to hold in (b).<br />

If we use polar coordinates (k, φ) taken from the origin<br />

point of the 2D reciprocal space related to a direct plane<br />

(x, z) of the 3D nanomaterial space, this curve is obtained<br />

from:<br />

λ =<br />

β<br />

π / 2<br />

∫<br />

0<br />

+<br />

[cos( β −φ)]<br />

R(<br />

φ)<br />

dφ<br />

+<br />

π / 2<br />

∫<br />

0<br />

2<br />

sin[2( β −φ)]<br />

P(<br />

φ)<br />

dφ.<br />

π / 2<br />

∫<br />

0<br />

[sin( β −φ)]<br />

A(<br />

φ)<br />

dφ<br />

In Eq. (1), the one-dimensional (1D) integration kernels<br />

R(φ), A(φ) and P(φ), with the same metric unit [W/m/K<br />

per radian], depends on the only independent variable φ.<br />

They are obtained in a 2D reciprocal plane by prior<br />

integrations over the radial coordinate k from 0 to the<br />

location K(φ) on the boundary of the first 2D rectangular<br />

Brillouin zone (BZ) for the azimuthal angle φ, as depicted<br />

in Fig. 3(a). R(φ) and A(φ) are related to the square<br />

amplitudes of the radial [ u m ( k,<br />

φ)<br />

] and azimuthal<br />

[ v m ( k,<br />

φ)<br />

] phonon group velocities in the first 2D BZ,<br />

respectively. The cross-term P(φ) in Eq. (1) is a<br />

functional of the product u m ( k,<br />

φ)<br />

× v m ( k,<br />

φ)<br />

.<br />

2<br />

(1)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 205<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

(a)<br />

y [010]<br />

x [100]<br />

(b)<br />

y [010]<br />

Si<br />

x [100]<br />

z = 0 z = a/4<br />

Ge<br />

Si<br />

z = a/2 z = 3a/4<br />

B 0 = ma<br />

Ge<br />

B 1 = m x a<br />

d x = n x a<br />

H= m y a<br />

h= n y a<br />

Planes (001)<br />

Plane (001)<br />

With z = 0<br />

1<br />

A(<br />

φ)<br />

=<br />

2<br />

π h<br />

1<br />

P(<br />

φ)<br />

=<br />

π h<br />

N m<br />

K ( φ)<br />

(0)<br />

2<br />

∂nm<br />

∑ ∫<br />

[ vm(<br />

)] τ m(<br />

k)<br />

ωm(<br />

k)<br />

∂<br />

m= 1 0<br />

( k)<br />

k dk , (3)<br />

T<br />

N m<br />

K ( φ)<br />

(0)<br />

∂nm<br />

[ um(<br />

k)<br />

vm(<br />

k)]<br />

τ m(<br />

k)<br />

ωm(<br />

k)<br />

∂<br />

m= 1 0<br />

2 ∑ ∫<br />

( k)<br />

dk.<br />

T<br />

In Eqs. (2)-(4), k denotes the 2-tuple k = (k, φ), is the<br />

reduced Planck constant, N m is the finite number of<br />

eigenvalues (at k = 0) or 3 folds the number of atoms in a<br />

nanomaterial supercell, ω m (k) are the quantized angular<br />

frequencies from the dispersion curves, which are<br />

computed by lattice dynamics in a reciprocal direction<br />

(0)<br />

given by φ in the harmonic case, n m ( k)<br />

is the<br />

equilibrium Bose-Einstein distribution of the phonons for<br />

a system temperature T, and the membrane height is<br />

given by h = n y a as in the precedent. The radial [Eqs. (2)<br />

and (4)] and azimuthal [Eqs. (3) and (4)] group velocity<br />

components are obtained in polar coordinates by partial<br />

derivation of ω m (k) as u m = ∂ ω m(k)<br />

/ ∂k<br />

and v m =<br />

( 1/ k )[ ∂ωm(<br />

k)/<br />

∂φ]<br />

, respectively. The phonon relaxation<br />

times τ m (k ) in Eqs. (2)-(4), derived from the relaxationtime<br />

approximation of the Boltzmann transport equation,<br />

are computed in an incoherent approach with the<br />

Mathiessen rule using an addition of (non normalized)<br />

scattering probabilities:<br />

(4)<br />

−1<br />

( u)<br />

−1<br />

( s)<br />

−1<br />

[ τ m(<br />

k)]<br />

= [ τ m ( k)]<br />

+ [ τ m ( k)]<br />

. (5)<br />

Fig. 2 (colors). Discrete-medium cross sections of a supercell slab:<br />

In the four planes with z = 0, z = a/4, z = a/2 and z = 3a/4 used to<br />

define a supercell slab for lattice-dynamics calculations, the red and<br />

blue dots denote Si and Ge atoms, respectively (a). All planes of the<br />

slab have the same Miller indices (001). In the plane (001) with z = 0<br />

(b), the reduced parameters of an example molecular-scale device are<br />

defined as n x = 20, n y = 4, m x = 5, m y = 4, and μ = 11 for Ge QDs with<br />

45° facets.<br />

As noted in Eqs. (2), (3) and (5), for R(φ), A(φ) and P(φ),<br />

respectively, the 1D kernels in Eq. (1) also depends on<br />

the scattering relaxation times τ m ( k,<br />

φ)<br />

and heat<br />

capacities of the phonon eigenmodes given by the integer<br />

indices m:<br />

( u)<br />

In Eq. (5), the umklapp relaxation time τ m ( k)<br />

is<br />

computed from a semi-analytical model presented in<br />

Refs. [8,16]. Other incoherent scattering mechanisms are<br />

( s)<br />

denoted by the relaxation time τ m ( k)<br />

. To compute the<br />

latter, only incoherent scattering processes are considered<br />

( s)<br />

at the interfaces. From this hypothesis, τ m ( k)<br />

can be<br />

derived from the interface scattering cross sections as<br />

1/ τ<br />

( s)<br />

m<br />

( k)<br />

= u ( k)/[<br />

h + ( B / D ) H ]<br />

m<br />

with B = ( B0<br />

+ B1)/<br />

2.<br />

x<br />

(6)<br />

Indeed, a membranous material without punctual defects<br />

and dislocations is analyzed in this study.<br />

1<br />

R(<br />

φ)<br />

=<br />

2<br />

π h<br />

N m<br />

K ( φ )<br />

(0)<br />

2<br />

∂nm<br />

∑ ∫<br />

[ um(<br />

)] τ m(<br />

k)<br />

ωm(<br />

k)<br />

∂<br />

m= 1 0<br />

( k)<br />

k dk , (2)<br />

T<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 206<br />

ISBN: 978-2-35500-010-2


III.<br />

RESULTS<br />

A. Anisotropic dispersion curves<br />

The definitions in the 2D reciprocal space of the<br />

independent variables k and φ to obtain the throughput λ<br />

are explained in Fig. 3(a). The 2D BZ is elongated by a<br />

20-fold factor in the reciprocal direction k z with respect to<br />

that k x . Indeed, the reduced length of the supercell slab in<br />

x is given by n x = 20. The dispersion-curve diagrams for<br />

φ = 0° (direction k x with k z = 0) and φ = 90° (direction k z<br />

with k x = 0) are displayed in Figs. 3(b) and 3(c),<br />

respectively.<br />

(a)<br />

(b)<br />

φ = 0 o<br />

K z =π/a<br />

k<br />

k = (0,0)<br />

K(φ)<br />

φ<br />

K x =π/d x =π/(n x a)<br />

7-9 October 2009, Leuven, Belgium<br />

Different colors (blue, red, green, magenta and black<br />

from the lowest to the highest frequencies) represent<br />

different frequency ranges for the dispersion curves.<br />

As shown in Fig. 3(b), the dispersion curves for φ =<br />

0° are very flat leading to low radial group velocities<br />

u m (k, 0) in the direction k x . In contrast, for φ = 90°, the<br />

radial group velocities u m (k, π / 2) are usually much<br />

higher than those obtained for φ = 0°. Indeed, as depicted<br />

in Fig. 3(c), the slopes of the dispersion curves for φ =<br />

90° are usually much larger than those for φ = 0° [Fig.<br />

3(b)]. As a consequence, we can already expect from the<br />

evolution of the dispersion curves a significant exaltation<br />

of the throughput thermal conductivity λ in the direction<br />

z (β = 90°) with respect to that x (β = 0°), as discussed in<br />

the following.<br />

B. Anisotropic thermal conductivity<br />

For the example nanodevice presented in Figs. 1 and<br />

2, a low λ β varying from only 0.7 to 1.0 W/m/K is<br />

computed when β is increased from 0° to 24.4°,<br />

respectively, as shown in Fig. 4. Since 1 W/m/K<br />

corresponds (approximately) to the extreme low limit of<br />

the thermal conductivity of bulk amorphous Si [17,18],<br />

the hybrid nanomaterial can have a insulating behavior<br />

when β ≤ 25°. Second, when β is increased from 26.6 to<br />

90°, the material operation regime becomes more<br />

dissipative since λ β grows, with a sigmoid curve, from<br />

1.1 to 2.9 W/m/K, respectively.<br />

(c)<br />

φ = 90 o<br />

Fig. 3 (colors). Phonon band diagrams with the definition of the polar<br />

reciprocal coordinates k and φ (a) and dispersion curves obtained by<br />

lattice dynamics for φ = 0° (b) and φ = 90° (c).<br />

Fig. 4. Computed λ β vs. β curve with a sigmoid shape:<br />

The hybrid nanomaterial is that in Figs. 1 and 2 at room<br />

temperature T = 300 K. The circles, interpolated by the dotted line,<br />

are values for fcc crystallographic directions with integer Miller<br />

indices.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 207<br />

ISBN: 978-2-35500-010-2


The regime transition at λ β = 1.7 W/m/K between the<br />

thermal insulating and dissipative behaviors is obtained<br />

when β = 45°. This value is related to the close-packed<br />

directions in a membrane plane (for the fcc group). A<br />

significant 4.1 exaltation factor of λ β is found out when β<br />

is increased from 0° (x direction) to 90° (z direction).<br />

IV.<br />

CONCLUSION<br />

A suspended thermal nanomaterial made up of a Si<br />

membrane with stretched SA Ge QDs forming phonon<br />

waveguides is proposed. A hybrid behavior, which can<br />

be either insulating or dissipative is shown for thermal<br />

transport in our nanomaterial. As a consequence, a wide<br />

range of applications can be possibly covered by the<br />

membranous nanomaterial from thermoelectrics to heat<br />

sinking. Phonon wave-guiding is analyzed as a function<br />

of an angle β in a membrane plane. This deflection angle<br />

is defined from the in-plane direction [100], showing a<br />

significant QD constriction, to that [001] of the QD<br />

stretching. As observed from the dispersion curves<br />

computed by lattice dynamics, the throughput thermal<br />

conductivity λ is significantly increased in the direction<br />

[001] with respect to that [100]. Numerical results show<br />

that (i) the suspended nanomaterial has a thermalinsulating<br />

behavior for moderate β-values while (ii) heat<br />

dissipation is much more significant when β is increased<br />

up to 90°. A significant exaltation factor of 4 to 5 folds is<br />

obtained for the throughput λ between these operation<br />

regimes for an example molecular-scale device.<br />

7-9 October 2009, Leuven, Belgium<br />

[8] J.-N. Gillet, Y. Chalopin, and S. Volz, ASME J. Heat<br />

Transfer 131, 043206 (2009).<br />

[9] J.-N. Gillet, and S. Volz, J. Electron. Mater., in press.<br />

[10] J.-N. Gillet, Outstanding Scientific Paper Award, in Proc.<br />

28 th International Conference on Thermoelectrics (ITC<br />

2009), H. Bottner, Ed., Freiburg, Germany, 26-30 July<br />

2009.<br />

[11] W. Kim, J. Zide, A. Gossard, D. Klenov, S. Stemmer, A.<br />

Shakouri, and A. Majumdar, Phys. Rev. Lett. 96, 045901<br />

(2006).<br />

[12] T. M. Tritt, H. Bottner, and L. Chen, MRS Bulletin 33,<br />

366-368 (2008).<br />

[13] M. T. Dove, Introduction to Lattice Dynamics,<br />

Cambridge Topics in Mineral Physics and Chemistry, No<br />

4 (Cambridge Univ. Press, Cambridge, UK, 1993).<br />

[14] Z. Jian, Z. Kaiming, and X. Xide, Phys. Rev. B 41,<br />

12915-12918 (1990).<br />

[15] Y. Chalopin, J.-N. Gillet, and S. Volz, Phys. Rev. B 77,<br />

233309 (2008).<br />

[16] C. J. Glassbrenner, and G. A. Slack, Phys. Rev. 134,<br />

A1058-A1069 (1964).<br />

[17] D. G. Cahill, S. K. Watson, and R. O. Pohl, Phys. Rev. B<br />

46, 6131-6140 (1992).<br />

[18] C. Chiritescu, D. G. Cahill, N. Nguyen, D. Johnson, A.<br />

Bodapati, P. Keblinski, and P. Zschack, Science 315, 351-<br />

353 (2007).<br />

ACKNOWLEDGMENT<br />

The authors thank the European Consortium NANOPACK<br />

(www.nanopack.org) for its financial contribution.<br />

REFERENCES<br />

[1] R. Venkatasubramanian, Ed., Nanoscale Heat Transport -<br />

From Fundamentals to Devices, (Mater. Res. Soc. Symp.<br />

Proc. Volume 1172E, Warrendale, PA, 2009).<br />

[2] P. W. K. Rothemund, Nature (London) 440, 297-302<br />

(2006).<br />

[3] V. Maurice, G. Despert, S. Zanna, M.-P. Bacos, and P.<br />

Marcus, Nat. Mater. 3, 687-691 (2004).<br />

[4] A. Condon, Nat. Rev. Genet. 7, 565-575 (2006).<br />

[5] C. R. Martin, and P. Kohli, Nat. Rev. Drug Discov. 2, 29-<br />

37 (2002).<br />

[6] A. I. Yakimov, A. V. Dvurechenskii, and A. I. Nikiforov,<br />

J. Nanoelectron. Optoelectron. 1, 119-175 (2006).<br />

[7] S. Kiravittaya, H. Heidemeyer, and O. G. Schmidt, Appl.<br />

Phys. Lett. 86, 263113 (2005).<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 208<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Thermal Transient Measurements: the State of the Art<br />

Vladimir Székely<br />

BUTE, Hungary<br />

Text unavailable at the time of printing.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 209<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Characterization of Metal Micro-Textured<br />

Thermal Interface Materials<br />

Roger Kempers 1,2 , Anthony Robinson 2 & Alan Lyons 1,2,3<br />

1 Alcatel-Lucent<br />

Blanchardstown Industrial Park<br />

Dublin 15, Ireland<br />

2 Department of Mechanical &<br />

Manufacturing Engineering<br />

Trinity College Dublin,<br />

Dublin 2, Ireland<br />

3 Department of Chemistry<br />

City University of New York,<br />

College of Staten Island<br />

New York, USA<br />

Abstract- To address performance limitations of conventional<br />

thermal interface materials (TIMs), a metal micro-textured<br />

thermal interface material (MMT-TIM) has been developed<br />

that consists of a thin metal foil with raised micro-scale features<br />

that plastically deform under an applied pressure thereby<br />

creating a continuous, thermally conductive, path between the<br />

mating surfaces. Here, the influence of various geometrical<br />

parameters on the mechanical and thermal performance of<br />

hollow conical MMT-TIMs is investigated experimentally. The<br />

results demonstrate the influence of feature size, shape, array<br />

density and foil thickness. The results also serve to highlight<br />

the underlying challenge of characterizing the thermal contact<br />

resistance of MMT-TIMs. Future efforts for this project are<br />

discussed including the validation of a numerical thermalmechanical<br />

model and development of a relationship between<br />

electrical and thermal contact resistance for MMT-TIMs that<br />

would allow estimation of the thermal contact resistance using a<br />

straightforward electrical measurement.<br />

I. INTRODUCTION<br />

The mitigation of thermal contact resistance is essential to<br />

the performance of conduction-based electronic thermal<br />

management solutions. Typically the most feasible strategy<br />

to reduce thermal contact resistance is to insert a thermal<br />

interface material (TIM) of higher thermal conductivity<br />

between the mating surfaces to conform to the contacting<br />

surface asperities and displace any micro and macroscopic<br />

air voids, thereby providing a path of improved heat<br />

conduction.<br />

To work effectively, TIMs must physically conform to the<br />

mating surfaces under reasonable assembly pressures and<br />

exhibit low contact resistance with adequate bulk thermal<br />

conductivity. The bond-line thickness values are kept to a<br />

minimum to help reduce bulk thermal conductivity, however<br />

the thickness must be sufficiently large to enable the TIM to<br />

comply to surface irregularities and non-planarities. For<br />

assembly of microprocessors, where surfaces are relatively<br />

smooth and flat, TIMs are thin. However for other<br />

demanding applications, such as the assembly of high<br />

powered wireless amplifiers where surfaces can be rough<br />

and undulating, relatively thick TIMs are required to ensure<br />

good contact across the entire surface. Many different TIMs<br />

are commercially available that attempt to meet these<br />

requirements in different ways. These include a range of<br />

adhesives, greases, elastomeric pads and various phasechange<br />

materials [1].<br />

The main weakness of many commercially available TIMs<br />

is their relatively poor thermal performance. Often the TIM<br />

consists of a low-conductivity organic phase, such as<br />

silicone grease, interspersed with higher conductivity metal<br />

(e.g. silver, copper) or ceramic particles (e.g. aluminium<br />

oxide, zinc oxide or boron nitride) to boost the overall<br />

effective thermal conductivity of the material. The end<br />

result is a material whose effective thermal conductivity is<br />

limited by multiple point-to-point contacts between adjacent<br />

particles. Despite using extremely high conductivity filler<br />

materials, such as silver (k ≈ 420 W/m·K), the effective<br />

thermal conductivity of the best commercially available<br />

TIMs is on the order of 5 to 10 W/m·K, which is<br />

considerably lower than the thermal conductivities of typical<br />

mating components. In addition, dispensing and flow of the<br />

particle-matrix composite results in voids being trapped<br />

within the bond.<br />

Indeed in many high thermal energy dissipating systems,<br />

the TIMs can account for up to 50% of the available thermal<br />

budget of the package [2]. With the inevitable<br />

implementation of high performance liquid cooling<br />

strategies, this percentage will become even greater. If the<br />

thermal management of an electronic device is inadequate,<br />

unacceptable temperature levels may be reached which can<br />

adversely affect device performance, reliability and lifespan<br />

[2]. These thermal issues have spawned a global effort<br />

towards the development of novel TIMs with complex<br />

formulation and very high performance [3-5].<br />

To address these issues, a novel TIM has been developed<br />

called metal micro-textured thermal interface materials<br />

(MMT-TIMs) [6]. These materials consist of an array of<br />

small-scaled raised metal features on a thin metallic<br />

substrate. When this structure is compressed between two<br />

mating surfaces, the features plastically deform and conform<br />

to the contacting bodies as illustrated in Fig 1. This<br />

approach reverses the conventional TIM paradigm by<br />

creating two interpenetrating continuous phases – one of<br />

high-conductivity plastically deformable metal features and<br />

a second of an optional organic compound which flows<br />

around these features. The constraint on thermal<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 210<br />

ISBN: 978-2-35500-010-2


F<br />

F<br />

A<br />

B<br />

Fig. 1: Metal micro-textured thermal interface material concept<br />

conductivity imposed by multiple point-to-point contacts in<br />

conventional TIMs is eliminated. In addition, the possibility<br />

of void formation is significantly reduced since these microtextured<br />

contact points are fixed in an array. Air voids,<br />

which may become entrapped in the organic phase, will not<br />

affect metal contact density. The result is an array of<br />

conformable, yet continuous solid metal features of high<br />

effective thermal conductivity that are in intimate contact<br />

with the mating surfaces due to the plastic deformation of<br />

raised features. Furthermore, by employing pure metals<br />

such as copper, silver or aluminium, the features of the<br />

MMT-TIM are both good thermal conductors and relatively<br />

compliant.<br />

A preliminary investigation characterized the performance<br />

of an array of hollow silver cones (measuring approximately<br />

2 mm tall by 1 mm diameter, on 2mm pitch) which exhibited<br />

promising results with effective thermal conductivities up to<br />

5 W/m·K. Furthermore, these MMT-TIMs demonstrated<br />

significant compliance (up to 85% strain) with a relatively<br />

constant application pressure. More importantly, the<br />

effective thermal conductivity remained relatively constant<br />

over a large deformation range [6]. Kempers et al. [6] have<br />

also developed a numerical model that characterizes the<br />

mechanical and thermal response of a given MMT-TIM<br />

geometry during its compressive deformation.<br />

The present study investigates the performance of several<br />

different MMT-TIM feature geometries and presents some<br />

experimental results that demonstrate the significance of<br />

certain geometrical factors on both the mechanical and<br />

thermal response of the MMT-TIM. Ultimately, this will<br />

lead to a design tool that can be used to develop optimum<br />

MMT-TIM geometries for a given set of criteria.<br />

A<br />

B<br />

7-9 October 2009, Leuven, Belgium<br />

contribute to a low overall uncertainty and a robust error<br />

analysis provides uncertainties for all measured and<br />

calculated quantities. Details regarding the design and<br />

uncertainty analysis of this apparatus are provided in [7].<br />

The temperatures at the meter-bar contact surfaces, T a and<br />

T b , and the heat flux, Q, for each meter-bar were obtained by<br />

performing least squares regression of the axial temperature<br />

distribution to a straight line and computing the resulting y-<br />

intercept and slope at the contact surfaces. As a result, the<br />

uncertainty of T a , T b and Q depend on both the thermal and<br />

spatial uncertainties of each thermistor. Details regarding<br />

the uncertainty propagation through the least squares<br />

regression are presented in [7].<br />

The heat transfer rate through each meter-bar is then<br />

computed by<br />

Q m k A<br />

mb mb<br />

(1)<br />

where m mb is the temperature gradient through each meterbar,<br />

k mb is the thermal conductivity of each meter-bar, and A<br />

is the cross-sectional area of the meter-bar.<br />

The apparent thermal resistance of the TIM is then<br />

calculated as<br />

mb<br />

=<br />

R =<br />

( T T )<br />

a −<br />

Q<br />

(2)<br />

where Q is the mean heat transfer rate through the meterbars.<br />

The effective thermal conductivity of the TIM can then<br />

be calculated using<br />

QL L<br />

keff = =<br />

A( Ta<br />

− Tb<br />

) AR<br />

(3)<br />

where L is the thickness of the specimen bond line. The<br />

decrease in sample height as they are compressed was<br />

computed by subtracting the bondline thickness from the<br />

initial height. Pressure is computed using the apparent<br />

contact area of the apparatus (1600 mm 2 ).<br />

III. MMT-TIM GEOMETRIES<br />

The prototype MMT-TIMs investigated in the present<br />

study were fabricated by first creating a 3D model of the<br />

desired surface-negative using a conventional CAD package<br />

(in this case ProEngineer). This form or mandrel was then<br />

built in wax directly using a high-resolution wax 3D printer.<br />

Silver was electroplated onto the wax mandrel to a desired<br />

thickness and the wax subsequently removed. The resulting<br />

b<br />

II. EXPERIMENTAL APPARATUS<br />

The design of the experimental apparatus was based upon<br />

a popular implementation of ASTM D5470 where wellcharacterized<br />

meter-bars are used to extrapolate surface<br />

temperatures and measure heat flux through the sample<br />

under test. Measurements of thermal resistance, effective<br />

thermal conductivity, and electrical resistance can be made<br />

simultaneously as functions of pressure and sample<br />

thickness. This apparatus is unique in that it takes advantage<br />

of small, well-calibrated thermistors for precise temperature<br />

measurements (±0.001 K). Careful implementation of<br />

instrumentation to measure thickness and force also<br />

Fig. 2: Cross-section of hollow conical MMT-TIM geometry<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 211<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig. 3: Nominal feature geometries and measured dimensions of MMT-TIMs<br />

MMT-TIM structure consists of an array of hollow metal<br />

features and can be described as a textured metal foil. This<br />

methodology allows for the creation of a wide range of<br />

relatively detailed geometries. Furthermore, these geometries<br />

potentially lend themselves to low-cost, high-volume<br />

manufacturing techniques such as micro-stamping or<br />

embossing, making this an attractive option for a costreduced<br />

TIM.<br />

Clearly, the scope of potential MMT-TIM geometries<br />

is very large; however, for the present study, arrays of hollow<br />

conical structures were investigated. An illustration of the<br />

cross-section of a single cone indicating nominal geometrical<br />

parameters is shown in Fig. 2. Here the important parameters<br />

of the hollow conical structure can be described by the<br />

overall feature height, base diameter, plating or foil thickness,<br />

and pitch.<br />

A description of the specimens tested for the present<br />

study is presented in Fig. 3. Here, the sample name and<br />

approximate nominal geometries are shown. Due to<br />

resolution limitations of the wax 3D printer, this “idealized”<br />

geometry is rarely realized as indicated by the accompanying<br />

SEM image of the subsequently plated structures. The<br />

dimensions listed correspond to the measured dimensions of<br />

the final structures, corresponding to Fig. 2. Here,<br />

approximate values of diameter and pitch were measured<br />

using the SEM image and found to correspond well to the<br />

designed geometry. Initial feature height was measured using<br />

the experimental apparatus outlined previously. Foil<br />

thickness was relatively difficult to control due to the plating<br />

process with which the metal was deposited. However,<br />

having once obtained the outer dimensions, the approximate<br />

thickness was estimated by measuring the mass of the<br />

sample. The volume of each unit-cell could then be obtained<br />

by using the density of silver in conjunction with the<br />

representative 3D CAD model. For the present study, feature<br />

“B” in Fig. 3 served as the base-line case for which to make<br />

comparisons to other geometries.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 212<br />

ISBN: 978-2-35500-010-2


IV.<br />

RESULTS & DISCUSSION<br />

7-9 October 2009, Leuven, Belgium<br />

7<br />

A. Effect of Feature Height & Feature Size<br />

The effect of feature height for two metal thicknesses was<br />

compared between the nominal case of feature “B” and for a<br />

conical structure of similar diameter and approximately twice<br />

the height, designated as feature “A” in Fig. 3. The pressure<br />

required to deform these structures is plotted as a function of<br />

strain in Fig. 4. Here, all features exhibit a similar trend: the<br />

pressure increases somewhat linearly until approximately<br />

20% strain. Next there is a region of moderate plateau until<br />

the structures begin to densify and the pressure rises steeply<br />

between 70 and 80% strain. Overall, the thicker metal foil<br />

requires a correspondingly larger pressure to deform to a<br />

given strain for both feature heights. The trends and<br />

magnitudes for the taller structure (feature “A”) correspond<br />

well to that of a similar geometry investigated in [6]. The<br />

taller feature (A) offers greater compliance than “B” as the<br />

pressure required to achieve a certain stain (in the 20-70%<br />

strain range) is approximately 20-25% lower.<br />

The effect of overall feature size was also compared<br />

between the nominal case of feature “B” and for a conical<br />

structure half the diameter and approximately half the height,<br />

designated as feature “F” from Fig. 3. From a mechanical<br />

standpoint, the trend in compressive pressure for both<br />

thicknesses of the half-sized MMT-TIM is extremely steep,<br />

requiring significantly more force to be deformed to a given<br />

strain than sample “B”. This can be attributed to two<br />

reasons: First, ratio of pitch to diameter for both features is<br />

the same, resulting in the MMT-TIM array of sample “F”<br />

having four times as many features as sample “B”. Secondly,<br />

while the outer dimensions of sample “F” are approximately<br />

50% of “B”, the metal plating thickness remains the same,<br />

thereby resulting in an overall stiffer structure.<br />

From a thermal standpoint, the variation of effective<br />

thermal conductivity with pressure for these MMT-TIMs is<br />

plotted in Fig. 5. At low pressures, the effective thermal<br />

conductivity of these structures is relatively low; indicating<br />

the role of contact thermal resistance is dominant in this<br />

3<br />

keff (W/mK)<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

0<br />

B1<br />

B3<br />

A1<br />

A3<br />

F1<br />

F3<br />

0 0.5 1 1.5 2 2.5 3<br />

Pressure (MPa)<br />

Fig. 5: Variation of effective thermal conductivity with pressure for<br />

MMT-TIMs of different heights, diameters and metal thicknesses.<br />

region. However, as the pressure is increased and the<br />

structures undergo deformation, the effective thermal<br />

conductivity of these structures increases significantly,<br />

reaching a maximum of approximately 5.5 W/mK at a<br />

pressure of 3 MPa for MMT-TIM “F1”. Generally speaking<br />

the thicker metal-plated MMT-TIMs exhibited only a slightly<br />

higher effective thermal conductivity at pressures in certain<br />

regions of this curve, whereas for the most part, the thinner<br />

MMT-TIMs demonstrated similar thermal performance for a<br />

given pressure.<br />

B. Effect of Pitch<br />

The effect of feature pitch alone can be established by<br />

comparing samples “B” and “D” from Fig. 3. A plot of their<br />

respective stress-strain curves is shown in Fig. 6. Similar to<br />

the result of sample “F” in Fig. 4, due to the four-fold<br />

increase in the number of features being compressed, the<br />

amount of pressure required to deform sample “D” to a given<br />

strain increases dramatically over the baseline case.<br />

From a thermal standpoint, the effective thermal<br />

3<br />

2.5<br />

2.5<br />

Pressure (MPa)<br />

2<br />

1.5<br />

1<br />

0.5<br />

0<br />

B1<br />

B3<br />

A1<br />

A3<br />

F1<br />

F3<br />

0 20 40 60 80 100<br />

Strain (%)<br />

Fig. 4: Variation of compressive pressure with strain for MMT-TIMs of<br />

different heights, outer dimensions and metal thicknesses<br />

Pressure (MPa)<br />

2<br />

1.5<br />

1<br />

0.5<br />

0<br />

B3 (p=2 mm)<br />

D1 (p=1 mm)<br />

0 20 40 60 80 100<br />

Strain (%)<br />

Fig. 6: Variation of compressive pressure with strain for MMT-TIMs of<br />

different pitches.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 213<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

keff (W/mK)<br />

8<br />

7<br />

6<br />

5<br />

4<br />

3<br />

2<br />

1<br />

0<br />

B3 (p=2 mm) - k_eff<br />

D1 (p=1 mm) - k_eff<br />

D1 (p=1 mm) - RA<br />

B3 (p=2 mm) - RA<br />

0 0.5 1 1.5 2 2.5 3<br />

Pressure (MPa)<br />

0.001<br />

0.0009<br />

0.0008<br />

0.0007<br />

0.0006<br />

0.0005<br />

0.0004<br />

0.0003<br />

0.0002<br />

0.0001<br />

conductivity and specific thermal resistance are plotted as a<br />

function of pressure in Fig. 7. Here, while the higher featuredensity<br />

sample “D1” exhibits higher effective thermal<br />

conductivity at all pressures, its overall thermal resistance it<br />

actually higher in the upper pressure range. This is due to the<br />

inability to compress the sample to as thin a bondline as<br />

sample “B3” as indicated in Fig. 6.<br />

C. Effect of Feature Shape<br />

A direct comparison was made between the baseline case<br />

of the circular-based hollow cone (sample “B”) and a squarebased<br />

hollow pyramid of similar outer dimensions and<br />

thickness (sample “C”). The variation of pressure as a<br />

function strain is presented in Fig 8. Clearly, the squarebased<br />

pyramid requires less force to deform to a given strain.<br />

It is hypothesized this is due to stress concentrations that<br />

exist where the sides of the pyramid meet as opposed to the<br />

somewhat stiffer axisymmetric buckling that would occur in<br />

the circular hollow cone as predicted by early model results<br />

[6].<br />

From a thermal standpoint, the pyramid structure exhibits a<br />

Pressure (MPa)<br />

Fig. 7: Variation of effective thermal conductivity and specific thermal<br />

resistance with pressure for MMT-TIMs of two different pitches<br />

3<br />

2.5<br />

2<br />

1.5<br />

1<br />

0.5<br />

0<br />

0 20 40 60 80 100<br />

Strain (%)<br />

0<br />

B3 (cone)<br />

C1 (pyramid)<br />

Fig. 8: Variation of compressive pressure with strain for two conical<br />

and pyramidal MMT-TIM geometries of similar dimensions<br />

RA (m 2 K/W)<br />

keff (W/mK)<br />

4.5<br />

4<br />

3.5<br />

3<br />

2.5<br />

2<br />

1.5<br />

1<br />

0.5<br />

0<br />

B3 (cone) - k_eff<br />

C1 (pyramid) - k_eff<br />

B3 (cone) - RA<br />

C1 (pyramid) - RA<br />

0 0.5 1 1.5 2 2.5 3<br />

Pressure (MPa)<br />

0.001<br />

0.0009<br />

0.0008<br />

0.0007<br />

0.0006<br />

0.0005<br />

0.0004<br />

0.0003<br />

0.0002<br />

0.0001<br />

Fig. 9: Variation of effective thermal conductivity with pressure for<br />

conical and pyramidal MMT-TIMs<br />

somewhat lower effective thermal conductivity over the<br />

range of applied pressure, as illustrated in Fig 9. In terms of<br />

specific thermal resistance, however, at low pressures, the<br />

pyramidal MMT-TIM has a lower thermal resistance. At<br />

higher pressures, the conical shaped MMT-TIM represents<br />

the optimum geometry.<br />

D. Thermal Contact Resistance of MMT-TIMs<br />

These results serve also to highlight the main challenge in<br />

characterizing the thermal performance of MMT-TIMs:<br />

specifically distinguishing the bulk thermal resistance of the<br />

MMT-TIM from the contact thermal resistance with the<br />

meter bars of the test apparatus. Typically, for conventional,<br />

homogeneous TIMs, the contact resistance can be<br />

characterized experimentally by measuring several<br />

thicknesses of TIM, plotting the thermal resistance as a<br />

function of thickness and extrapolating contact resistance as<br />

the y-intercept where the thickness is zero [8]. For MMT-<br />

TIMs however, this method cannot be used due to the nonuniform<br />

behaviour of the bulk TIM.<br />

The specific thermal resistance of each TIM is plotted as a<br />

function of pressure at 50% strain in Fig. 10. Overall, there is<br />

a decreasing trend in thermal resistance, which demonstrates<br />

that, generally, stiffer structures offer a lower overall thermal<br />

resistance. This is indicative of the important role thermal<br />

contact resistance plays in the thermal performance of these<br />

TIMs. However, compliance is also required of thermal<br />

interface materials to avoid exerting excessive stresses on the<br />

mating parts. Thus a trade-off between thermal resistance<br />

and compressive pressure exists. To this point, sample “D2”<br />

exhibits over a 3 fold increase in compliance (i.e. reduction in<br />

pressure required to achieve 50% strain) while exhibiting a<br />

relatively small increase in thermal resistance (~0.00005<br />

m2k/W)<br />

The thermal contact resistance and electrical contact<br />

resistance are qualitatively similar as both of these<br />

phenomena depend on the ratio of actual, intimate contact<br />

area to the apparent contact area [9, 10]. However, whereas<br />

thermally the bulk resistances are on similar orders of<br />

magnitude as the contact resistances, electrically the<br />

0<br />

RA (m 2 K/W)<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 214<br />

ISBN: 978-2-35500-010-2


RA (m 2 K/W)<br />

0.0008<br />

0.0007<br />

0.0006<br />

0.0005<br />

0.0004<br />

0.0003<br />

0.0002<br />

0.0001<br />

0<br />

C2<br />

A3<br />

C1<br />

B3<br />

D2<br />

A1<br />

B1<br />

0 0.5 1 1.5 2 2.5 3<br />

Pressure @ 50% Strain (MPa)<br />

D1<br />

F3<br />

7-9 October 2009, Leuven, Belgium<br />

measurements will be required. Future work will also involve<br />

validating the simultaneous thermal-mechanical numerical<br />

model developed by Kempers et al. [6] with this data.<br />

Additionally, characterization the thermal contact resistance<br />

of these MMT-TIMs as they deform is essential to<br />

understating their performance and for the design of optimum<br />

MMT-TIMs for a given application. Present work revolves<br />

around understanding the relationship between electrical and<br />

thermal contact resistance for these deformable metal<br />

structures in order to develop a simple electrical<br />

measurement to estimate the thermal contact resistance of<br />

MMT-TIMs.<br />

ACKNOWLEDGMENTS<br />

This work was supported by IDA Ireland. Special thanks<br />

to Paul Ahern of Alcatel-Lucent for the SEM imaging.<br />

Fig. 9: Variation of specific thermal resistance with pressure at 50%<br />

strain for each MMT-TIM<br />

resistances of the bulk MMT-TIM are extremely small<br />

compared to the resistance at the contact surfaces. For these<br />

MMT-TIMs, it has been proposed that a relatively<br />

straightforward electrical resistance measurement be<br />

employed to characterize the thermal contact resistance [6,7].<br />

Work is presently being carried out in order to characterize<br />

the relationship between thermal and electrical contact<br />

resistance of deformable metal structures for this purpose.<br />

V. CONCLUSIONS & FUTURE OUTLOOK<br />

Several different hollow conical MMT-TIM structures<br />

were characterized experimentally. The results explore the<br />

effect of various geometrical parameters such as metal<br />

thickness, feature height, size, density and shape on both the<br />

mechanical and thermal response of the MMT-TIM. Overall,<br />

these parameters can exhibit a definite influence both the<br />

thermal and mechanical response of the TIM.<br />

Generally speaking, MMT-TIMs with thicker metal<br />

plating (foil thickness) exhibit a stiffer mechanical response<br />

and a slightly higher effective thermal conductivity.<br />

Additionally, stiffer structures and MMT-TIMs with high<br />

density feature arrays can exhibit higher effective thermal<br />

conductivities but also higher thermal resistances due to<br />

lower compressibility in the structure.<br />

The best overall TIM performance was achieved<br />

through a trade-off between effective thermal conductivity<br />

and mechanical compliance. This was achieved with sample<br />

“D2” where a dense array of conical features formed an<br />

interface of multiple, redundant, thermal contacts. Due to the<br />

thin metal plating, the features plastically deform relatively<br />

easily providing good compliance and a large interfacial<br />

contact area. Thicker metal plating does further reduce<br />

thermal resistance of the MMT-TIM, but only at the price of<br />

significantly higher assembly pressures.<br />

The present work represents an initial exploration into<br />

the effects of various MMT-TIM geometries on the<br />

mechanical and thermal properties. Although some<br />

performance trends were demonstrated, the tested structures<br />

are far from optimal. Additional experimental structures and<br />

REFERENCES<br />

[1] Liu, J., Michel, B., Rencz, M., Tantolin, C, Sarno, C., Miessner, R.,<br />

Schuett, K-V., Tang, X., Demoustier, S. & Ziaei, A., “Recent Progress<br />

of Thermal Interface Material Research—An Overview”, Proceedings of<br />

the 14 th Workshop on Thermal Issues in ICs and Systems<br />

(THERMINIC), Rome, Italy, September 24-26, 2008<br />

[2] Smith B., Brunschwiler, T., & Michel, B. “Comparison of transient and<br />

static test methods for chip-to-sink thermal interface characterization”.<br />

Microelectron. J., doi:10.1016/j.mejo.2008.06.079 (2008).<br />

[3] Linderman, R., Brunschwiler, T., Smith B., and Michel, B. “High<br />

performance thermal interface technology overview”. Proceedings of the<br />

13 th Workshop on Thermal Issues in ICs and Systems (THERMINIC),<br />

pp. 129-134 (2007).<br />

[4] Ziaei, A. & Demoustier, S., “NANOPACK – Nano Packaging<br />

Technology for Interconnect and Heat Dissipation”. Proceedings of the<br />

14 th Workshop on Thermal Issues in ICs and Systems (THERMINIC),<br />

Rome, Italy, September 24-26, 2008.<br />

[5] Liu, J., Michel, B., Rencz, M., Tantolin, C., Sarno, C., Miessner, R.,<br />

Schuett, K. V., Tang, X., Demoustier, S. & Ziaei, A. “Recent Progress<br />

of Thermal Interface Material Research – An Overview”. Proceedings of<br />

the 14 th Workshop on Thermal Issues in ICs and Systems<br />

(THERMINIC), Rome, Italy, September 24-26, 2008.<br />

[6] Kempers, R., Frizzell, R., Lyons, A. & Robinson, A.J., “Development of<br />

a Metal Micro-Textured Thermal Interface Material”, ASME<br />

InterPACK Conference, IPACK2009-89366, San Francisco, July 8-12,<br />

2009<br />

[7] Kempers, R., Kolodner, P., Lyons, A. & Robinson, A.J. “A High-<br />

Precision Apparatus for the Characterization of Thermal Interface<br />

Materials” Accepted to Review of Scientific Instruments, (2009).<br />

[8] Teertstra, P. “Thermal Conductivity and Contact Resistance<br />

Measurements for Adhesives”. Proceedings of ASME InterPACK 2007,<br />

Vancouver, BC, July 8-12, 2007.<br />

[9] Madhusudana, C.V., Thermal Contact Conductance, Springer-Verlag,<br />

New York, (1996).<br />

[10] Braunovic, M., Konchits, V.V. &Myshkin, N.K., Electrical Contacts:<br />

Fundamentsl, Aplications and Technology, CRC Press, (2007).<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 215<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Carbon Nanotube Enhanced Thermally Conductive<br />

Phase Change Material For Heat Dissipation<br />

Xinhe Tang, Ernst Hammel and Werner Reiter<br />

Electrovac AG<br />

Aufeldgasse 37-39<br />

3400 Klosterneuburg, Austria<br />

Tel: 0043-2243-450405, Fax: 0043-2243-450315, tan@electrovac.com , www.electrovac.com<br />

ABSTRACT<br />

Carbon nanotube enhanced thermally conductive phase<br />

change material for heat dissipation has been studied in the<br />

present paper. It was indicated that the thermal resistance was<br />

greatly reduced by incorporating multi-walled carbon<br />

nanotubes into the organic matrix. The uniform distribution of<br />

carbon nanotubes has been realized by optimizing the<br />

dispersion parameters like temperature, shear strength, rolling<br />

speed and gap of rollers. New phase change materials have<br />

been developed and the thermal performance has been<br />

evaluated. The further work relating to physical and<br />

mechanical properties and application in heat dissipation is<br />

being undertaken.<br />

Key words: carbon nanotubes, nanofiller enhanced phase<br />

change material, thermal performance, thermal resistance<br />

I. INTRODUCTION<br />

An organic phase change material (PCM) shows thermal<br />

storage capacity due to its latent heat of transformation, but its<br />

application in thermal management is limited owing to its low<br />

thermal conductivity. It is expected that embedding a thermally<br />

conductive nanofiller in the PCM matrix can either increase the<br />

thermal conductivity of the composite or decrease the thermal<br />

resistance, and therefore reduce the junction temperature of the<br />

components.<br />

Carbon nanotubes (CNTs) possess high thermal conductivity<br />

in the axis direction. An individual multi-walled carbon<br />

nanotube (MWCNT) can be as high as 3000 W/mK in thermal<br />

conductivity [1]. The CNTs with their outstanding conductivity<br />

have a great potential to be employed in PCM for heat<br />

dissipation. It is believed that the high inherent thermal<br />

conductivity of CNTs significantly enhances the thermal<br />

conductivity of the PCM. It was indicated that the thermal<br />

grease made from carbon nanofibers (CNF) showed low<br />

thermal resistance in the previous work [2-3]. It has been<br />

reported that CNT arrays lead to a minimum thermal<br />

resistance of 19.8 Kmm 2 /W, while the combination of the CNT<br />

arrays with phase change material results in much lower<br />

resistance of 5.2 Kmm 2 /W [4]. The dispersion and the<br />

formation of a network of CNTs in the matrix, the loading<br />

grade of the fillers, the manufacture process and even the<br />

properties of the matrix itself exert an important influence on<br />

the thermal performance of the PCM.<br />

The objective of this work is to develop nanofiller enhanced<br />

phase change material by finding out desirable compositions<br />

where CNTs and matrix may well be incorporated, and by<br />

optimizing dispersing parameters to generate minimum thermal<br />

barriers between nanofillers and matrix and to realize a<br />

maximum thermal conductivity of the phase change material.<br />

In the present work following activities have been involved in<br />

developing CNTs enhanced PCM:<br />

• Selection of PCM matrices and filler materials;<br />

• Pre-treatment of carbon nanotubes;<br />

• Optimization of dispersion parameters;<br />

• Optimization of loading grade of CNTs;<br />

• Evaluation of CNTs distribution in PCM;<br />

• Measurment of the thermal resistance of the PCM;<br />

• Comparison of the enhancement over the matrix;<br />

II. EXPERIMENTAL<br />

1. Selection of carbon nanofibers as filler<br />

It has been reported that the thermal grease made from heattreated<br />

carbon nanofibers showed lower thermal resistance than<br />

any other non-treated CNF and CNT[2]. A multi-walled carbon<br />

nanotube was treated at high temperature and used as the filler<br />

to enhance the thermal performance. Fig. 1 shows the SEM<br />

morphology of this filler material. As many nanoparticles, the<br />

carbon nanotubes are also strongly agglomerated, which shows<br />

the difficulty to be well dispersed into the organic matrix.<br />

Fig. 1: SEM morphology of multi-walled carbon nanotube<br />

2. Selection of organic phase change material<br />

The following properties have been taken into account to<br />

select organic PCM:<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 216<br />

ISBN: 978-2-35500-010-2


• Low thermal resistance;<br />

• Good wetting with carbon nanotubes;<br />

• High latent heat of fusion;<br />

7-9 October 2009, Leuven, Belgium<br />

Table 1 gives a list of organic PCM and their melting range.<br />

The thermal resistance of these PCMs is measured and given in<br />

this table too. Polyethylene glycol (PEG) with different<br />

molecular weight is also used as the matrix material and PEG-<br />

1000, PEG-1500 and PEG-2000 show much lower thermal<br />

resistance by themselves than lauric acid and tetradecanol<br />

which are typical PCMs. The melting range of PEG increases<br />

with increase of molecular weight. PEG-2000 shows a melting<br />

range from 45°C to 50°C.<br />

Table 1: Selection of organic PCM and thermal resistance<br />

3. Preparation of CNT reinforced phase change material<br />

Following processes were involved in making CNT<br />

reinforced phase change material (CNT-PCM):<br />

• Melting the organic PCM;<br />

• Pre-mixing CNT with PCM;<br />

• Dispersing CNT into PCM;<br />

• Studying Rth change with dispersing time;<br />

In order to generate minimum thermal barriers between<br />

nanofillers and PCM, the dispersion parameters have to be<br />

optimized. The PCM matrix was melted and then was mixed<br />

with CNTs using dual asymmetric centrifuge (DAC) followed<br />

by dispersion procecess. The dispersion of CNTs into PCM<br />

matrix was completed using three rolling mill. The parameters<br />

such as shear strength, temperature, gap of rollers and rolling<br />

speed were optimized. Nanofiller reinforced phase change<br />

material has been prepared by selecting a desirable organic<br />

PCM and embedding CNTs into the matrix under optimized<br />

dispersing condition.<br />

4. Measurement of thermal resistance of PCM<br />

Fig. 2 shows a schematic set-up for evaluating thermal<br />

resistance of CNT-PCM. It is comprised of a heater, a cooler<br />

and two Cu-bodies with diameters of 40mm. The top-body is<br />

soldered to the heater, while as the bottom-body is connected<br />

to cooling water to remove the heat. Pressure is applied by a<br />

cylinder.<br />

The CNT-PCM is placed on the surfaces of the well<br />

polished copper body. A pressure 400N is applied to the<br />

system. The temperature difference is recorded after 10 min of<br />

operation. The bondline thickness (BLT) is indicated using<br />

Mitutoyo Gauge ID-C. The thermal resistance R th is measured<br />

Fig. 2: Schematic set-up for R th measurement<br />

by the temperature difference between the two copper bodies<br />

devided by input power.<br />

III.<br />

RESULTS AND DISCUSSIONS<br />

1. Evaluation of CNT dispersion into PCM<br />

CNT dispersion in PCM can be evaluated by observing the<br />

cross-section of CNT-PCM by SEM. The strongly<br />

agglomerated CNTs can be seen if they are not well dispersed<br />

into the PCM. The feed material of CNTs are strongly<br />

agglomerated like peanut shell (see Fig. 1) and it can be well<br />

dispersed into the PCM using the process developed in this<br />

work. Fig. 3 and Fig. 4 show the SEM mophologies of the<br />

cross-section of CNTs in PEG-2000 and in lauric acid<br />

respectively. No more strongly agglomerated carbon<br />

nanotubes can be observed by SEM, which indicates that CNTs<br />

are uniformly dispersed into these PCMs.<br />

Fig. 3: Dispersion of carbon nanotubes in PEG-2000<br />

Fig. 4: Dispersion of carbon nanotubes in lauric acid<br />

2. Dependence of thermal resistance on dispersing time<br />

The influence of dispersing time on thermal resistance of<br />

CNT-PCM has been studied. Fig. 5 shows the Rth-dispersing<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 217<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

relation of CNT in PEG-1000. It can be seen that the thermal<br />

resistance decreases gradually with increasing dispersing time.<br />

Fig. 6 shows the Rth-mixing time for embedding CNT into<br />

tetradecanol. The R th decreases slowly within the first 20<br />

minutes. Afterward R th drops from 0.03K/W to 0.022K/W in<br />

next 10min, and it decreases further to 0.021K/W after 1h<br />

dispersion. The reduction of R th directly reflects the<br />

distribution of CNTs in the PCM matrix. The longer the<br />

dispersing time is, the more uniform the CNTs distribute,<br />

which was also approved by SEM (see Figs. 3 and 4).<br />

Fig.8: R th comparison of CNT enhanced PCM<br />

Fig. 5: R th Change with dispersing time for CNT-PEG1000<br />

IV. CONCLUSIONS<br />

The present study indicates that the thermal resistance of<br />

organic phase change materials can be greatly reduced by<br />

incorporating multi-walled carbon nanotube into matrix. The<br />

uniform distribution of carbon nanotubes is realized by<br />

optimizing the dispersion parameters like temperature, shear<br />

strength, rolling speed and gap of rollers. Carbon nanotube<br />

enhanced thermally conductive phase change materials have<br />

been developed. The further study including physical and<br />

mechanical properties and application in heat dissipation is<br />

being undertaken.<br />

ACKNOWLEDGMENT<br />

This work was partly financially supported by the 7 th<br />

Framework Program of EU Nanopack (Project No. 216176,<br />

Nano Packaging Technology for Interconnect and Heat<br />

Dissipation).<br />

Fig. 6: R th Change with dispersing time for CNT-Tetradecanol<br />

3. R th comparison of CNT in different PCM<br />

Figs. 7 and 8 give R th comparison before and after<br />

embedding CNT into matrices. Only slight decrease in R th<br />

can be achieved after dispersing CNT into PEG-1000, PEG-<br />

1500 and PEG-2000, while an obvious reduction in R th is<br />

realized by dispersing CNT into PEG-600 (see Fig. 7). From<br />

Fig. 8 it can be seen that lowest R th (0.018K/W) is achieved by<br />

embedding CNT in lauric acid. More than 40% reduction in R th<br />

has been obtained for matrices lauric acid and 1-tetradecanol<br />

respectively. The reduction as high as 50% has even been<br />

realized for undecylenic acid.<br />

Fig.7: Rth comparison (CNT-PEG system)<br />

REFERENCES<br />

[1] P. Kim et al., “Thermal transport measurements of<br />

individual multiwalled nanotubes”, Phys. Rev. Lett.<br />

87, 215502 (2001)<br />

[2] X. Tang, E. Hammel et al, “Study of Carbon<br />

Nanofiber Dispersion for Application of Advanced<br />

Thermal Interface Materials”, Proceedings of 1 st<br />

Vienna International Conference Micro-and Nano-<br />

Technology, 395-400, March 9-11, 2005, Vienna,<br />

Austria<br />

[3] E. Hammel, X. Tang et al, “Performance of Carbon<br />

Nanofiber Based Thermal Grease”, IMAPS Advanced<br />

Technology Workshop on Thermal Management for<br />

High-Performance Computing and Wireless<br />

Application, Palo Alto, CA, USA, Oct.24-26, 2005<br />

[4] Xu et al, “Enhancement of thermal interface materials<br />

with carbon nanotube arrays”, International Journal<br />

of Heat and Mass Transfer , Vol. 49, N o 9-10, (2006),<br />

pp. 1658-1666<br />

BRIEF BIOGRAPHY<br />

The principal author, Dr. Xinhe Tang has joined Electrovac<br />

AG since 2000. He focuses currently his work on the research<br />

and development of new products such as thermal interface<br />

materials and bonded copper/ceramic substrates. He<br />

participates in the EU project “Nanopack” and leads the second<br />

work package “Development of materials”.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 218<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Method for In-Situ Reliability Testing of TIM<br />

Samples<br />

Andras Vass-Varnai, Zoltan Sarkany, Marta Rencz<br />

Mentor Graphics Hungary Ltd.<br />

MicReD Division<br />

e-mail: @mentor.com<br />

In this paper a possible method demonstrated for in-situ<br />

reliability testing of various TIM materials. The method is based<br />

on thermal transient measurements of a power semiconductor<br />

device in a TO-type package which has a flat, external cooling<br />

surface. By powering the junction of the semiconductor cyclically<br />

the whole assembly is exposed to intense thermal cycles in which<br />

the main heat-flow path leads through the TIM material. May the<br />

quality of the TIM change during the cycles the maximum<br />

junction temperature also changes.<br />

I. INTRODUCTION<br />

With the always growing speed and integration densities of<br />

electronic circuits their thermal management is becoming a key<br />

task in thermal engineering. The increasing power results in<br />

increasing temperature in the chip that first just modifies, later<br />

destroys the operation of the circuit, if the heat is not<br />

appropriately lead out of the chip. The heat transfer to the<br />

outside world can be improved by better heat sinks, higher air<br />

velocities and liquid cooling if the application allows it. But the<br />

heat first has to reach the surface of the package, and the<br />

efficiency of this heat transfer depends on the conductivity of<br />

the package itself, and the interface thermal resistance that is<br />

defined as the sum of the thermal resistance of the interface<br />

material (TIM) plus the contact resistances. The thermal<br />

interface between the outside cooling structures also has to be<br />

improved by TIM materials as their connections are thermally<br />

far from perfect. Based on these facts the lifetime of a device<br />

strongly depends on the long term reliability of the TIM<br />

materials in the cooling assembly.<br />

somewhat less demand for accuracy. As a powerful tool to<br />

observe any changes in the heatflow path the JEDEC JESD51-<br />

1 static electrical test method can be used [5] and enhanced by<br />

mathematical calculations; mapping over the heat-flow path<br />

from the electrically excited junction to the ambient by means<br />

of thermal resistances and thermal capacitances.<br />

II.<br />

MEASUREMENT ARRANGEMENT<br />

In the proposed test arrangement the TIM material is put<br />

between the external cooling surface of a power<br />

semiconductor device and a heat-sink. The semiconductor is<br />

fixed to the surface of the heat-sink by constant force. This<br />

force is maintained by a special fixture, as it can be seen in<br />

Fig 1. The highest temperature elevation and the total<br />

junction-to-ambient thermal resistance of the assembly are<br />

characterized at the device’s operating parameters to get<br />

reference values.<br />

Our goal with this work is to monitor any changes of TIM<br />

performance after repeated reliability tests. Unfortunately due<br />

to many physical problems, the testing of TIM materials is not<br />

a straightforward task [2]. Commonly accepted laboratory test<br />

methods such as transient thermo reflectance measurement [3],<br />

direct thermal diffusivity measurement or the 3 omega method<br />

[4] are versatile and precise characterization tools however<br />

they are not applicable for in-situ measurements. To<br />

characterize the TIM performance in a given electronics<br />

application, e.g. to see the change of the Rth value of a TIM<br />

layer after reliability testing raises new requirements: these<br />

measurements have to be very fast in situ measurements with<br />

Fig 1. : Special fixture to mount the power semiconductor on the cooler<br />

surface by constant force<br />

This type of test is aimed at the evaluation of the durability<br />

of TIM materials for temperature cycling, induced by power<br />

cycles. The temperature of the surface of the cold-plate can<br />

be set and maintained at any temperature between 5 to 100°C.<br />

The measurements are carried out with a thermal transient<br />

tester and its high power accessory. The high power<br />

excitation which results in the temperature rise in the device<br />

is provided by the accessory. After reaching hot thermal<br />

steady state, the high power is switched off and the cooling<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 219<br />

ISBN: 978-2-35500-010-2


transient is measured by the transient tester. Such test<br />

conditions resemble the normal operation of the device: if the<br />

quality of the TIM gets worse, the same powering results in<br />

higher junction temperatures in each cycle.<br />

May other failures occur in the device under test, the<br />

measurement results unfold them, too. As the resulting<br />

functions of the thermal transient measurements yield us<br />

structural information of the whole cooling assembly,<br />

especially near the junction, beside the changes of TIM<br />

performance even die attach failures can be noticed this way.<br />

III.<br />

EXPERIMENTAL<br />

In order to evaluate the above discussed test method, we<br />

conducted tests on 8 different TIM samples. As a heater<br />

element a PNP type power transistor was used in TO-220<br />

type package. The package was fixed on a cold-plate as stated<br />

before. The powerwstep required for the measurements was<br />

generated using the so-called voltage step method. A<br />

constand 2A current was forced through the open transistor,<br />

while the base-collector voltage was switched in 1 µs<br />

between -1V and -10V. Once the powerstep took place the<br />

base-emitter voltage was used as a temperature sensitive<br />

parameter to measure the temperature response. The power<br />

step was 18.2 W, and the sensitivity of the transistor resulted<br />

in -1.1 mV/°C.<br />

All measuerements lasted for 20 seconds. It took 10<br />

seconds for the junction of the transistor to heat up<br />

completely and it also took 10 seconds to cool down.<br />

The thermal behaviour of 8 different TIM samples were<br />

investigated , however as most of them showed very similar<br />

results, therefore not all resulting graphs are presented in this<br />

paper. The list and composition of the TIM materials used<br />

for this study is summarized in Table 1.<br />

Sample<br />

ID.<br />

Filler<br />

Matrix<br />

TIM-1 CNT diluted epoxy<br />

TIM-2 Al micro-particles silicone<br />

TIM-3 CNT + graphite diluted epoxy<br />

TIM-4 CNT + graphite solvent<br />

TIM-5<br />

graphite + metal<br />

powder<br />

resin<br />

TIM-6 CNT epoxy<br />

TIM-7<br />

silicone grease<br />

TIM-8 CNT epoxy<br />

7-9 October 2009, Leuven, Belgium<br />

In order to evaluate the results three views were used. The<br />

most straightforward way to see the difference between two<br />

measurements is to compare the transient responses. The<br />

transients should run together until the time point where the<br />

main trajectory of the heat leaves the package boundary and<br />

enters the grease layer. The difference between the total<br />

elevations should show the the change of the thermal resistance<br />

of the TIM material unless any other structural element<br />

changes its thermal properties in the heat-flow path.<br />

Fortunately this can be easily verified using the structure<br />

functions.<br />

Generated from the transient results using a mathematical<br />

procedure called the NID method [6], the structure functions<br />

represent the heat-flow path from the place where the power<br />

step takes place (so-called driving point) towards the<br />

environment. Both the thermal resistance of each strucure in<br />

the heat-flow path and the the thermal capacitance of the<br />

corresponding layer in which the heat spreads can be identified<br />

this way. Due to the extremely high repetability of the thermal<br />

transient method [7] while the heat flows in the same structure,<br />

the structure functions are exactly the same. If the structure of<br />

the heat-flow path changes the difference immediately appear<br />

son these functions, showing the exact location (thermal<br />

resistance value) and magnitude (thermal capacitance value) of<br />

the change. Hence with the help of the structure functions it<br />

can be made sure that it is really the TIM material which<br />

changes its thermal resistance in the assembly, or not.<br />

As in the beginning for each TIM material 2500 cycles were<br />

performed and the cooling transient for each cycle was<br />

captured, using the tansient response - and structure functious<br />

only it is impossible to show trends. In order to overcome this<br />

problem, the temperature difference between an early point of<br />

the transient and the highest elevation was plotted after each<br />

measurement:<br />

Table 1. list of thermal interface materials used in this<br />

Study<br />

The surface of the heat-sink was set to 40°C during all<br />

measurements. This allowed the junction temperature to rise<br />

up to app. 120 °C in each cycle, of course this value may<br />

change a bit depending on the TIM.<br />

Figure 2. Tendency of the final temperature of the junction using TIM-1<br />

(raw data above, smoothed data below)<br />

Figure 2. clearly shows how the repeated power cycles<br />

make the thermal resistance of the TIM material lower and<br />

lower until app. after 1500 cycles the material stabilizes.<br />

Along the x axis the number of the samples can be followed,<br />

while the y axis shows the final difference between the<br />

temperature elevation at a very early time of the transient and<br />

the final temperature value. The data is first expressed in bits<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 220<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

which can be transferred to voltage and temperature values if<br />

necessary using the following equation:<br />

T=Bits*LSB/K, (1)<br />

Where T is a temperature value counted from a reference<br />

point, LSB is the resolution of the tester, which is 24.4µV in<br />

our case. K is the temperature sensitivity value of transistor.<br />

Using the equation and reference values above, it can be<br />

calculated that the temperature change during all of these<br />

cycles is app. 6.5°C in case of TIM-1. By plotting some<br />

transient curves and comparing them, this value can be<br />

directly read from the transients.<br />

T3Ster Master: Smoothed response<br />

Temperature rise [°C]<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

TIM_1_3_2500_aver.0001 - Ch. 0<br />

TIM_1_3_2500_aver.0500 - Ch. 0<br />

TIM_1_3_2500_aver.1000 - Ch. 0<br />

TIM_1_3_2500_aver.2500 - Ch. 0<br />

Fig 4. : Zoomed view of Fig 3.<br />

10<br />

0<br />

0.001 0.01 0.1 1<br />

Time [s]<br />

Fig 3. The thermal behavior of TIM 1 material after 1, 500, 1000 and 2500<br />

heating cycles<br />

The results indicate that the heat-flow path after the first<br />

cycle has the highest thermal resistance. After cycle 500 the<br />

TIM material significantly becomes better, no big changes<br />

can be observed anymore.<br />

Fig 4 shows that the temperature difference between cycles<br />

1 and 500 and above is already 4.5 centigrade.<br />

The evaluation of the measured data after each cycle<br />

suggests that the TIM4 sample is reliable on the long run<br />

even though it changed a bit in the initial 500 cycles, it just<br />

became better. This result also verifies the measurement<br />

arrangement; applying this methodology, very small<br />

differences can be revealed in the heat-flow path; moreover<br />

the measurement itself is carried out with extremely high<br />

repeatability, as the temperature transient curves clearly fit<br />

each other up to 0.3 seconds.<br />

In order to see whether the change is really caused by the<br />

TIM material’s property changes the structure functions can<br />

reveal the truth. Fig 5. shows that the structure functions<br />

generated by the transients in Fig 3 run together up to above 3<br />

K/W, which is over the junction-to-case thermal resistance<br />

value of the transistor used for this study. As it is proven that<br />

the structure of the heater transistor has remained<br />

unchainged, the temperature drop is obviously caused by the<br />

property change of the TIM layer.<br />

Fig 5. Cumulative structure functions of TIM 1 material<br />

Most of the materials (e.g. silicone grease) showed similar<br />

behaviour, i.e. their thermal resistance decreased after the<br />

cycles. During the first 500 cycles the matrix rearranges<br />

itself, which results in probably lower contact resistance.<br />

After the first app. 500 cycles the change becomes less<br />

significant, the assembly is close to stabilization.<br />

Some materials don’t change at all, or the change is close to<br />

the noise level.<br />

61,20<br />

61,00<br />

60,80<br />

60,60<br />

60,40<br />

60,20<br />

60,00<br />

59,80<br />

59,60<br />

59,40<br />

59,20<br />

0 500 1000 1500 2000 2500<br />

Fig 6. Tendency of the final temperature of the junction using TIM-4<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 221<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Fig 6. gives the tendency of TIM 4 material during 2500<br />

cycles. Although some clear increase can be observed in the<br />

temperature up to 1000 cycles followed by a slight diminish,<br />

the maximum change during all 2500 measurements is less<br />

than 0.4 °C. Practically after finishing the test the junction<br />

temperature remains unchanged, the TIM 4 material is<br />

reliable. To make sure that the pattern on Fig 6 is not a<br />

summary of two effects, i.e. the change of the structure of the<br />

heater transistor plus the change of the TIM, it is worth to<br />

check both the transients and the structure functions.<br />

T3Ster Master: Smoothed response<br />

61,60<br />

61,40<br />

61,20<br />

61,00<br />

60,80<br />

60,60<br />

60,40<br />

1 195 389 583 777 971 1165 1359 1553 1747 1941 2135 2329<br />

Temperature rise [°C]<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

TIM4_repeat2500.0001 - Ch. 0<br />

TIM4_repeat2500.0500 - Ch. 0<br />

TIM4_repeat2500.1000 - Ch. 0<br />

TIM4_repeat2500.1500 - Ch. 0<br />

TIM4_repeat2500.2000 - Ch. 0<br />

TIM4_repeat2500.2500 - Ch. 0<br />

0.001 0.01 0.1 1<br />

Time [s]<br />

Fig 7. Transient results of TIM 4 sample after 1, 500, 1000 , 1500, 2000 and<br />

2500 cycles<br />

T3Ster Master: cumulative structure function(s)<br />

Cth [Ws/K]<br />

100<br />

10<br />

1<br />

0.1<br />

0.01<br />

TIM4_repeat2500.0001 - Ch. 0<br />

TIM4_repeat2500.0500 - Ch. 0<br />

TIM4_repeat2500.1000 - Ch. 0<br />

TIM4_repeat2500.1500 - Ch. 0<br />

TIM4_repeat2500.2000 - Ch. 0<br />

TIM4_repeat2500.2500 - Ch. 0<br />

0.001<br />

1.5 2 2.5 3 3.5<br />

Rth [K/W]<br />

Fig 8. Structure function view of the transient responses shown in Fig 7.<br />

Very similarly to the TIM 1 material both functions are<br />

exactly the same on a large time and thermal resistance range.<br />

They indicate that during the measurement the structure of<br />

the transistor has not changed at all, the effect is<br />

characteristic to the TIM 4 material.<br />

Beside decreasing and stabile trends some materials also<br />

showed some small degradation, at least in terms of thermal<br />

resistance. Materials TIM 3 and TIM 6 are good examples of<br />

this behavior. The slight degradation of TIM 3 during the<br />

cycles can be observed in Fig 9.<br />

Fig 9. . Tendency of the final temperature of the junction using TIM-3<br />

The corresponding structure functions are not shown in the<br />

present paper, however they are in very good correlation with<br />

the results in Fig 9 and they diverge above the junction-tocase<br />

thermal resistance of the transistor.<br />

The behavior of the other materials also follow the<br />

tendencies shown before, for this reason their graphs are not<br />

included, only the trends are listed in Table 2. Beside the<br />

trend (direction of the junction temperature change) and the<br />

cycle number of the stabilization, the maximum temperature<br />

change is also listed.<br />

Sample<br />

ID<br />

Trend in<br />

Rth<br />

Max.<br />

Temp. Diff.<br />

[°C]<br />

TIM-1 decreasing 6,5<br />

TIM-2 decreasing 0,86<br />

TIM-3 increasing 1,1<br />

TIM-4<br />

increasing<br />

up to app.<br />

1000 then<br />

slightly<br />

decreasing<br />

Stabilization<br />

app. 1500,<br />

but still<br />

diminishing<br />

app. 2000,<br />

but still<br />

diminishing<br />

app. 2000,<br />

but still<br />

increasing<br />

stabile, on<br />

the whole<br />

range within<br />

the noise<br />

level<br />

TIM-7 decreasing 1,47 app. 250<br />

TIM-8 decreasing 2,47 1000<br />

Table 2. Resulting trends and maximum temperature changes for TIM<br />

materials listed in Table 1<br />

Even though the temperature change is not large during<br />

2500 cycles, the small changes can be measured very well.<br />

The resolution of the measurements is 0.02 °C in this<br />

measurement range, which is adequate for this purpose.<br />

0,4<br />

TIM-5 decreasing 1,2 app. 1500<br />

TIM-6<br />

decreasing<br />

up to 150,<br />

then<br />

linearly<br />

increasing<br />

0,78 no<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 222<br />

ISBN: 978-2-35500-010-2


IV.<br />

SUMMARY AND CONCLUSIONS<br />

7-9 October 2009, Leuven, Belgium<br />

The methodology discussed in this paper is aimed at the insitu<br />

reliability testing of TIM materials with a special<br />

emphasize put on the thermal properties. The temperature<br />

change of a probe was selected as an output signal which is in<br />

correspondence with the thermal resistance of the TIM<br />

material. This special power cycling test resembles the real<br />

application and makes it possible to track the TIM property<br />

changes “online”, without changing the thermal system.<br />

[4] D.G. Cahill. Rev. Sci. Instrum. 62 2 (1990), p. 802<br />

[5] www.jedec.org/download/jedec/jesd51-5.pdf<br />

[6] V. Székely, Identification of RC Networks by Deconvolution:<br />

Chances and Limits, IEEE Transactions on Circuits and Systems-I.<br />

Theory and Applications, CAS-45 (3):244-258, 1998<br />

[7] Rencz M., Székely V.,: Measuring partial thermal resistances in a<br />

heat flow path, IEEE Transactions on CPT, Vol 25,No 4, Dec.<br />

2002, pp 547-553<br />

The tests were conducted on 8 different materials and gave<br />

three types of tendencies as results. Some materials became<br />

significantly better during the power cycles showing no sign<br />

of reliability problems. A small number of materials either<br />

kept their initial characteristics or slightly became worse. As<br />

most of the materials showed some clear change in their<br />

thermal properties, it may be important to track these changes<br />

and have them appeared in some form in their datasheets.<br />

This would enable the users to predict the long-time behavior<br />

of their thermal systems.<br />

The thermal transient methodology combined with the NID<br />

mathematical method is a perfect way for this type of<br />

characterization. The fine temperature resolution enables the<br />

user to generate tendencies, while the structure functions<br />

serve as a great tool to make sure whether the change<br />

originates from the TIM material or not. If the temperature<br />

probe is correctly chosen, the time period of a cycle is very<br />

short, 2500 cycles were measured and recorded in less than<br />

14 hours.<br />

This methodology may also be suitable to evaluate other<br />

type of reliability tests, too. On the long run we plan to<br />

evaluate the effect of temperature cycling and HAST tests for<br />

TIM reliability for similar measurements.<br />

ACKNOWLEDGMENT<br />

The authors would like to express their acknowledgements<br />

to Electrovac A.G. for providing the TIM samples for<br />

testing. This work was supported by the NANOPACK FW7<br />

No. 216176/2007 IP Project of the EU.<br />

REFERENCES<br />

[1] A. Vass-Várnai, M. Rencz: “Testing interface thermal resistance”,<br />

In: Proceedings of eTherm'08 - The 1st International Symposium on<br />

Thermal Design and Thermophysical Property for Electronics.<br />

Tsukuba, Japan. 2008. pp. 73-76.<br />

[2] Lasance, C.J.M.; Murray, C.T.; Saums, D.L.; Rencz, M.<br />

“Challenges in thermal interface material testing”, Semiconductor<br />

Thermal Measurement and Management Symposium, 2006 IEEE<br />

Twenty-Second Annual IEEE Volume , Issue , 14-16 March 2006<br />

Page(s):42-49, Digital Object Identifier<br />

10.1109/STHERM.2006.1625204<br />

[3] Burzo, M.G.; Komarov, P.L.; Raad, P.E, Optimized thermoreflectance<br />

system for measuring the thermal properties of thinfilms<br />

and their interfaces, Semiconductor Thermal Measurement<br />

and Management Symposium, 2006 IEEE Twenty-Second Annual<br />

IEEE Volume , Issue , 14-16 March 2006 Page(s):87 – 94,DOI:<br />

10.1109/STHERM.2006.1625211<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 223<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Progress in Thermal Characterisation Methods and<br />

Thermal Interface Technology within<br />

the “Nanopack” Project<br />

B. Wunderle 1,2 , M. Abo Ras 1,3 , M. Klein 1 , R. Mrossko 1 , G. Engelmann 1 , D. May 1 ,<br />

O. Wittler 1 , R. Schacht 1,4 , L. Dietrich 1 , H. Oppermann 1 , B. Michel 1<br />

1 Fraunhofer Institute Reliability and Microintegration, Gustav-Meyer-Allee 25, 13355 Berlin, Germany<br />

Email: bernhard.wunderle@izm.fraunhofer.de<br />

2 Technische Universität Chemnitz, 09107 Chemnitz, Germany<br />

3 Berliner Nanotest und Design GmbH, 12489 Berlin, Germany<br />

4 University of Applied Sciences Lausitz, 01968 Senftenberg, Germany<br />

As the demand for new thermal technologies and<br />

materials has been increasing over the years to provide<br />

thermal solutions to the next generation of power<br />

electronics, microprocessors and high-power optical<br />

systems also thermal characterisation methods have to<br />

keep up with the pace of this development with respect to<br />

resolution and accuracy. Within the EU-funded project<br />

“Nanopack” we have developed both bulk and interface<br />

technologies to reduce thermal resistance using Ag-based<br />

materials and low-T and low-p processes to render them<br />

eligible for the electronics industry. New processes to<br />

generate nano-enhanced surface structures as well as<br />

thermo-compression bonding are examined within this<br />

paper. Along with these processes especially designed test<br />

stands are described which are able to extract the effects<br />

achieved by the technological advances.<br />

I. INTRODUCTION<br />

Thermal interface material resistance represents one of the<br />

major bottlenecks in advanced thermal packaging solutions.<br />

Over the last couple of years this trend has become more and<br />

more apparent as well as the need for new developments in<br />

advanced thermal technology [1-3].<br />

Part of the thermal resistance is governed by the thermal<br />

conductivity of the material, another is determined by the<br />

interface resistance [4,5]. So development for advanced<br />

thermal technology has to focus on an understanding of heat<br />

transfer across bulk and interfaces of thermal interface<br />

materials (TIMs), the infuences of processing conditions and<br />

– as a necessary requirement for progress – their accurate<br />

characterisation [4-8].<br />

Whereas the bulk thermal conductivity of greases or<br />

adhesives using filler particles of different materials, size,<br />

shape and modality has been showing some improvement<br />

over the last few years, the interfaces have received much<br />

less attention, although at thin bond line thicknesses (BLT)<br />

they have a major influence on heat transfer [5,6,9]: The<br />

particle density is usually lower at the interface and<br />

boundaries of dissimilar materials reduce the heat transfer<br />

across the interface [5]. This is also due to processing<br />

conditions [10]. In order to overcome these inherent limits,<br />

various technological approaches have been tried [9,10].<br />

We have developed and applied different surface<br />

modification methods to create structures which could<br />

enhance heat transfer across the interface to e.g. a particlefilled<br />

adhesive. Different approaches were tested to create<br />

nano-enhanced surfaces to conform easily to filler particles,<br />

contain excess matrix material or increase surface diffusion<br />

capabilities for bonding. Governing principle was to select<br />

low-cost processes which could be done on wafer level and<br />

do not create additional interfaces. From different<br />

approaches, a so-called “nano-sponge” technology realised<br />

in a thin Au-layer gave the best processing results and is<br />

being characterised thermally and mechanically. The layer<br />

can be applied at low T and put directly onto the die surface<br />

without any second interface. As could be shown, the<br />

resulting structure with an open-porous void size of initially<br />

15 nm can easily be modified in their mechanical properties<br />

by process parameters. It could be shown by nanoindentation<br />

that the material deforms easily, i.e. showing the prerequisite<br />

to conform to filler particles, regaining most of the excellent<br />

thermal conductivity of pure Au exactly where it is needed.<br />

Although the process optimisation is ongoing, first results<br />

can already be shown.<br />

In order to characterise the effect of these surface<br />

modifications, a special test stand has been designed which<br />

allows localised measurement of thermal current and<br />

temperature measurement as close as possible to the<br />

modified surface. Thin film heaters and sensors are realised<br />

on the flat surfaces of two silicon chip surfaces of 2 x 2 cm 2<br />

allowing a maximum possible temperature drop across the<br />

sandwiched TIM under test thus achieving maximum<br />

resolution. For mechanical stability the chips are bumped<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 224<br />

ISBN: 978-2-35500-010-2


and wire-bonded to a ceramic frame. To prevent chip tilt<br />

capacitive sensors are also integrated to measure the TIM<br />

thickness locally along with the thermal current. The sensors<br />

are covered by a thin CVD-glass which in return acts as<br />

support layer for the surface enhancement structure. Again,<br />

the thermal performance can be measured under conditions<br />

which are as close as possible to the real application.<br />

Another thermal technology developed is a sintering<br />

approach to Ag-powder to provide a highly conductive diebond<br />

layer [6]. We have reached a parameter window within<br />

which thermal conductivities up to 400 W/mK can be<br />

reached at low porosity. The interfaces need a thin plated Ag<br />

or Au layer, therefore providing excellent heat transfer. A<br />

special test stand has been developed to characterise this diebond<br />

layer using processes and materials as well as surfaces<br />

as later in a possible application. Shear tests indicate a very<br />

good adhesion for the developed process. Also here<br />

mechanical characterisation and reliability tests are ongoing,<br />

as thickness and material properties have a great impact on<br />

reliability of power systems and so such considerations have<br />

to be included into the design process right from the<br />

beginning [11,12].<br />

The research and development work presented here has<br />

been carried out in the ongoing EU FP7 Integrated Project<br />

“Nanopack”.<br />

7-9 October 2009, Leuven, Belgium<br />

by a lower filler density near the interface, an abundance of<br />

matrix material with much lower conductivity [5]. Therefore<br />

one may look for process or technology related riffs to<br />

change that. One idea is to enlarge the surface in a way that<br />

is can adjust to the filler particles. This is one aspect of the<br />

nano-sponge technology which is now presented.<br />

Chip<br />

Nanosponge<br />

Filler Particles<br />

Matrix<br />

Substrate<br />

Fig 2: Schematic of an adhesive contact with<br />

nano-sponge surfaces on both sides.<br />

Nano-porous structures, which could be deposited by<br />

electroplating, would combine the advantage of a wafer level<br />

process with the benefit of a large contact area. The nanosponge<br />

can serve as a compliant interface layer for high<br />

thermal conductive adhesives with conductive filler particle.<br />

The conformity between filler particle and contact surface is<br />

reached by local compression of the nano-sponge which<br />

should result in low thermal interface resistance, ideally<br />

locally regaining the conductivity of bulk Au (figure 2).<br />

II. NANO-SPONGE SURFACE ENHANCEMENT<br />

A technology fit to reduce thermal interface resistance has<br />

to feature very low added cost, compatibility with back-end<br />

processes and low-temperature quite apart from enhancing<br />

heat transfer for good reasons.<br />

R th<br />

[K/W]<br />

TIM<br />

10<br />

Gel#1<br />

8<br />

TIM Folie #2<br />

6<br />

4<br />

2<br />

R th,0<br />

0<br />

0.0 0.1 0.2 0.3<br />

d [mm]<br />

Fig. 1. Typical situation: TIMs show diversity in thermal<br />

conductivity (slope) and thermal interface resistance<br />

(intercept) as measured by time honoured method<br />

(e.g. ASTM-standard or [5,13]).<br />

As seen in figure 1, bulk conductivity is only half of the<br />

story. In the relevant region below 100 µm BLT the TIM #1<br />

would be the better choice despite a much lower thermal<br />

bulk conductivity: This interface resistence is in part caused<br />

3 µm<br />

Fig 3: Cross section of a nano-sponge structure .<br />

An enhancement is further given by a possible take in of<br />

superfluous matrix material at the boundary into the pores,<br />

which should at the same time increase the mechanical<br />

interlocking between adhesive and nanoporous surface, thus<br />

increasing reliability. If it was possible to generate such<br />

porous layers in the nano-region, enhanced interdiffusion<br />

capabilities due to such a nano-scale should give rise to new,<br />

interestingly reduced interconnect bonding parameters.<br />

The nano-sponge process can be applied to the reverse<br />

side of a silicon chip. The structure is also open-porous with<br />

pores of about 15 nm diameter. This is their initial size when<br />

observed by focused ion beam (FIB) imaging as seen in<br />

figure 4: Altogether, the unoccupied volume amounts to<br />

80 %vol of the sponge in that case. Interestingly, by<br />

tempering it is possible to coarsen the Au nanosponge which<br />

should be due to surface diffusion of Au-atoms to help<br />

decrease the energetically unfavourable large internal<br />

surface of the sponge. For e.g. 30 min at 300°C Au<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 225<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

structures of a size of about 200 nm are generated (see<br />

figure 5).<br />

Pt<br />

Indenter<br />

Sponge<br />

Au<br />

500 nm<br />

300 nm<br />

Fig 4: FIB image of the nano-sponge Au-structure. Note<br />

the initially generated pores of 15 nm diameter. This<br />

sponge has only 1/5 th of the density of Au. This image<br />

is a close-up of figure 3.<br />

Fig. 6: FIB image after nanoindentation.The platinum<br />

layer was deposited after nanoindentation.<br />

This is depicted in figure 7: The stiffness (E-modulus) is<br />

initially at very low values, far from the bulk value of pure<br />

Au (≈ 80 GPa [14]). With increasing indentation depth, the<br />

stiffness increases.<br />

18<br />

15<br />

12<br />

d = 2400 nm<br />

E [GPa]<br />

9<br />

6<br />

d = 1500 nm<br />

300 nm<br />

Fig. 5: SEM image of the nano-sponge after tempering<br />

at 300 °C for 30 min.<br />

So the generation of the nanosponge structure seems a<br />

very handy way to apply an open porous layer onto e.g. a<br />

silicon die with low T proceses. It now needs to be<br />

characterised in its themal and mechanical properties as a<br />

function of structural size.<br />

II A. NANO-SPONGE CHARACTERISATION<br />

Nanoindentation measurements were performed on a<br />

specimen which had a structure as depicted in figure 4. A<br />

Berkovich indenter was used for indentation at room<br />

temperature. The effect of the indentation can be seen in<br />

figure 6 where the indenter has left a characteristic mark in<br />

the sponge layer of approximately 5 µm thickness. Notable<br />

is the fact that the Au layer below has not been indented but<br />

that the sponge has apparently taken up all (plastic)<br />

deformation. That should also be evident from the obtained<br />

values for stiffness E and hardness H. For that purpose,<br />

indents were set at different levels of force which produce<br />

indents of various depths. After unloading the stiffness could<br />

be obtained.<br />

3<br />

0<br />

d = 50 nm<br />

d = 120 nm<br />

0 2000 4000 6000 8000 10000<br />

Force [µN]<br />

Fig 7: E-modulus of the sponge as function of indentation<br />

depth and force.<br />

H [GPa]<br />

0.14<br />

0.12<br />

0.10<br />

0.08<br />

0.06<br />

0.04<br />

0.02<br />

d = 50 nm<br />

d = 120 nm<br />

d = 1500 nm<br />

0 2000 4000 6000 8000 10000<br />

Force [µN]<br />

d = 2400 nm<br />

Fig 8: Hardness of the sponge by nanoindentation as<br />

function of force and depth.<br />

This could be due to an increasing densification of the<br />

sponge as the tip moves downward to compress it, but also<br />

due to the increasingly dominant presence of the solid Aulayer<br />

below (substrate effect). Conspicuous is the large<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 226<br />

ISBN: 978-2-35500-010-2


scatter in the results, which is due to the largely<br />

inhomogeneous surface structure of the sponge showing<br />

cracks and voids (see figure 3).<br />

F [µN]<br />

300<br />

250<br />

200<br />

150<br />

100<br />

50<br />

0<br />

Bulk Au<br />

Sponge<br />

Au<br />

0 20 40 60<br />

Depth [nm]<br />

20<br />

15<br />

10<br />

5<br />

0<br />

7-9 October 2009, Leuven, Belgium<br />

hardness values found for low indentation depths.<br />

F [µN]<br />

Fig. 9. Force-displacement curve for the smalest force<br />

(right curve). For comparison: Indentation on 300 nm bulk<br />

Au [15] (to the left). Note the different scales.<br />

A different observation is made for the hardness, i.e. a<br />

measure of ductility of the material. The initial state of the<br />

sponge shows a significantly higher value (above the usual<br />

dependence of hardness to increase at low depths [14]), i.e.<br />

the nearly un-deformed structure shows some elastic effect<br />

of the sponge structure. Then, with increasing depth, it<br />

becomes softer only to increase slowly again as indentation<br />

continues. This again should be due to densification of the<br />

sponge. However, even at 50 % deformation the porous layer<br />

is still more than an order of magnitude from a (process<br />

dependent) bulk value for Au-hardness (e.g. 1.3 GPa for<br />

electroplated Au [14]). The convergence to a bulk value has<br />

still to be shown in further measurements.<br />

F [µN]<br />

2500<br />

2000<br />

1500<br />

1000<br />

500<br />

0<br />

F max = 2500µN<br />

On further increasing the force, the curves take on the<br />

familiar shape of a bulk metal indicating large plastic<br />

deformation with its hysteresis (figure 10). However, to<br />

clarify the deformation process at this scale further<br />

investigation is necessary.<br />

The low stiffness and hardness of the sponge renders it<br />

eligible for the purpose of conforming easily to filler<br />

particles of an adhesive, hence decreasing interface<br />

resistance.<br />

II B. SILICON TO SILICON TESTER (SISSY)<br />

The name Sissy-tester is derived from “Si-Si” as two<br />

silicon dies face each other in a steady state measuring<br />

configuration. This tester is required to accurately measure<br />

thermal conductivity and interface resistance using in-situ<br />

monitoring of the major influential quantities. It offers the<br />

unique capability to include the surface modification<br />

technologies specified and developed in the Nanopackproject,<br />

a feature not offered by time-honoured designs<br />

[5,7,13]<br />

AlN- substrate<br />

Wire<br />

bond<br />

T-Sensors<br />

Thin film<br />

heater<br />

F<br />

Si<br />

TIM<br />

Si<br />

CVD Ox<br />

Micro water cooler<br />

Equipotential<br />

surfaces<br />

AlN- substrate<br />

bumps<br />

Fig 11: Schematic cross-section of Sissy-tester<br />

The challenges of Sissy-tester are to produce double side<br />

processed silicon dies and to develop a test system which<br />

enable us accurate mechanical and electrical control. Figure<br />

11 shows a schematic cross-section of the Sissy-tester.<br />

Layout of Chip A bottom and Chip B top<br />

Layout of Chip B bottom<br />

0 500 1000 1500 2000<br />

d [nm]<br />

Fig. 10. Force-displacement curve for larger forces.Now<br />

a more bulk-like curve is obtained<br />

It is instructive to have a look at the force-displacement<br />

curve itself. As depicted in figure 9 for the smallest force<br />

investigated, the curves rise linearly with indentation depth<br />

which is typical for shallow indents and due to the spherical<br />

tip shape. This effect is also visible when compared to bulk<br />

Au [15]. However, the large difference in stiffness becomes<br />

apparent considering the scale. Plastic deformation seems<br />

minimal as the hysteresis is small. This underpins the higher<br />

Wire bond<br />

Capacity sensors<br />

Bump pads<br />

pads<br />

Temperatue sensors<br />

Fig 12: Design and layout of chips.<br />

For the Sissy-tester there are two types of chips designd<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 227<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

and produced (chip A and chip B) with two different sizes: A1=64mm² @ big Chip<br />

The big chips is 30 mm x 20 mm and the small one is 20 mm<br />

A2=14mm² @ small chip<br />

x 10mm. Chip A has on the top side thin film heater, 70nm<br />

of TiW layer, which enable power up to 120W and d= 10µm… 100µm<br />

homogeneous temperature distribution. On the bottom side<br />

of chip A five thin film micro temperature sensors and four<br />

60<br />

equipotential surfaces as capacity sensors to measure and<br />

capture distance and tilt in-situ are integrated. Chip B has on<br />

50<br />

the both sides the same configurations, five T-sensors and<br />

four C-sensors. 12 shows the layout of the bottom side of<br />

40<br />

chip A and the bottom and the top side of chip B.<br />

30<br />

Following the main components of the test system will be<br />

20<br />

described:<br />

10<br />

Heater<br />

A 70 nm thin film TiW layer with ρ=5e-7 Ohm*m<br />

electrical resistivity of TiW allows electrical power up to<br />

120W under using a low voltage until 42V. The full area of<br />

the heater structure enables a homogeneous temperature<br />

distribution on the TIM surfaces below.<br />

Temperature sensors<br />

Proper measurements of temperature are very important to<br />

improve the accuracies of the test system. Semiconductor<br />

diodes which are integrated in e.g. flip chip can give proper<br />

temperature measurements but they cannot measure the<br />

interface temperatures. In Sissy-tester thin film micro scale<br />

meander structures of 60nm Au with 30nm TiW adhesion<br />

layer were realised for temperature measurement. The<br />

meander structures have the following technological<br />

parameters: width 20µm, line space 35µm and length<br />

42.5mm for the big chips and 30mm for the small chips, it<br />

yields from that 760 ohm electrical resistance for big<br />

variants and 454 ohm for small variants. The sensivity of<br />

temperature sensors will be than about 10mV/K at<br />

I const =3 mA. T-sensors will be measured separate with using<br />

a four point measurement method.<br />

Capacity sensors<br />

Equipotential surfaces are integrated on the surface to<br />

capture tilt and distance between the dies and between the<br />

lower die and micro cooler to control the homogeneity of a<br />

heat flow through TIM and dies. The equipotenial surfaces<br />

have a defined area 8mm x 8mm for big chips and 3.75mm x<br />

3.75mm for the small one. To determine the distance<br />

between the surfaces the capacity can be measured.<br />

C = ⋅ε<br />

ε 0<br />

r<br />

⋅<br />

A<br />

d<br />

Where C: capacity, ε 0 : permittivity of free space ε r :<br />

relative static permittivity, A: area, d: distance or BLT of<br />

TIM.<br />

The relative static permittivity ε r should be determined<br />

separate for each material. In the worst case ε r will be equal<br />

to 1 (air). To evaluate the measurement range and sensitvity<br />

of the capacity sensors a sample calculation will be estimate<br />

for difference BLT:<br />

C [pF]<br />

big chip<br />

small chip<br />

0<br />

0 20 40 60 80 100<br />

BLT [µm]<br />

Fig. 13: Minimum capacity yvalues vs. BLT for the both<br />

chips with no TIM present.<br />

Figure 13 shows the relationship between capacity and<br />

distance for difference sizes of areas. It can be seen that the<br />

capacity values for a big chip are between 55pF und 5.5pF<br />

and for a small one are between 12pF and 1.2pF at BLT<br />

between 10µm and 100µm, with an increased sensitivity<br />

versus the interesting small BLT values. Such capacitance<br />

values can easily be measured by today’s impedance gauges.<br />

Electrical and mechanical connections<br />

The chips are soldered and wire-bonded to AlN-substrate,<br />

AlN was chosen because of his coefficient of thermal<br />

expansion (CTE). The mean thermal expansion coefficients<br />

obtained in the range 20–300 °C are 4.2e-6 1/K for AlN and<br />

2.8e-6 1/K for silicon. The CTE of silicon and AlN ceramic<br />

is quite close to each other, which minimizes thermomechanical<br />

stresses.<br />

Micro water cooler<br />

AlN-substrate<br />

Si-die<br />

TIM<br />

Si-die<br />

AlN-substrate<br />

Fig. 14: Electrical and mechanical connection of Sissytester<br />

The top of chip A and the bottom of chip B are first<br />

assembled on AlN substrates using AuSn. AuSn solder is<br />

preferably applied using preforms or paste instead of<br />

bumping to maintain some degree of freedom in the process<br />

flow. The bottom of chip A and the top of chip B are wirebonded<br />

after the assembling. To provide mechanical support<br />

and exclude contaminants such as fingerprint residues which<br />

could disrupt circuit operation glob-top encapsulation is<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 228<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

applied.<br />

Processing of Sissy Chips<br />

In order to realize the two types of silicon chips which<br />

bear the heater, the sensors for temperature, and the<br />

equipotential surfaces for capacitive measurements, a variety<br />

of functional layers have to be brought on both sides of the<br />

silicon chips. For this purpose double side polished 150 mm<br />

wafers (thickness: 800 µm) have to be processed on both<br />

sides aligned to each other within an accuracy of 6 µm. A<br />

series of thin film processes like PVD, CVD, etching, as well<br />

as reinforcement of layers by electrochemical deposition<br />

(ECD) have been selected to build up the different structured<br />

layers. The metallic thin film layers (TiW, Au, Ni) are<br />

deposited by ion sputtering and, where adequate, used as<br />

plating base before being structured by wet etching. The<br />

inorganic dielectric layers are deposited by CVD. Two<br />

specific combinations of process sequences on both sides of<br />

the wafer correspond to the two types of double side<br />

functional chips. In this context the special challenge is to<br />

combine the process steps in a way that the mutual<br />

interference is minimized. Where necessary the opposite side<br />

of the wafer has to be protected in a suited way from<br />

processing.<br />

In figure 15 a schematic cross-sectional view is given of<br />

the upper of the measurement chip pair. The top side of the<br />

chip bears the heater and the bottom side the temperature<br />

sensors and the equipotential surfaces. The adhesion layers<br />

are made of TiW (blue), the wiring of gold (yellow), and the<br />

passivation layer of an inorganic dielectric (pink).<br />

Additionally the first TiW layer on top has the function of<br />

the heater and the gold thin film on the bottom forms the<br />

sensors. The bond pads are reinforced by ECD. The<br />

soldering pads of the heater on top are made of nickel<br />

(white) and gold, the wire bond pads of gold only.<br />

The bottom chip of the Sissy-tester bears on both sides the<br />

temperature sensors and the equipotential surfaces, but the<br />

soldering pads are formed on the bottom and the wire bond<br />

pads on the top side of the chip as the mounting of the chip<br />

on the ceramic frame is in this case vice versa.<br />

an Ag suspension and is therefore applicable using standard<br />

bonding equipment. Normally silver layers on chip and<br />

substrate side are deposited to ensure an optimum adhesion<br />

to the TIM.<br />

Fig. 16: Cu test samples (side and top view) used for the<br />

setup of the Ag-sintering process and for the thermal<br />

characterization; the Ag suspension is dispensed on the<br />

Ag metallized pedestal having a size of 2 mm²<br />

Cu test samples were used for the setup of the sintering<br />

process, first results are reported in [6]. The aim was to<br />

optimize the adhesion after bonding and to achieve a<br />

reproducible bonding surface which was needed for the<br />

thermal characterization of the interconnection. During first<br />

sintering experiments the Ag suspension was dispensed on<br />

flat Cu surfaces and cut after drying to achieve a contact area<br />

of 1 mm². The disadvantage of this method was on the one<br />

hand the positioning of the contact area on the Cu and on the<br />

other hand the influence by the cutting itself. To get rid of<br />

both issues new Cu samples were designed and<br />

manufactured. Now the contact area is clearly defined by a<br />

pedestal. The size of this pedestal is 2 mm² and the contact<br />

area was previously metalised with a layer of 1-2 µm Ag<br />

(see Figure 16).<br />

200 µm<br />

Fig. 15: Schematic cross-sectional view of the upper<br />

measurement chip, top: heater and soldering pads, bottom:<br />

temperature sensors, equipotential surfaces, and wire bond<br />

pads.<br />

III A. MONO-METAL SILVER INTERCONNECT<br />

Using Ag-sintering as TIM has two advantages: One is the<br />

excellent thermal conductivity of the material and the other<br />

one its good electrical conductivity. An Ag-sintering layer<br />

can be applied either by dispensing or by stencil printing of<br />

Fig. 17: Light microscopy image of a pedestal after shear<br />

testing; the fracture occured between the TIM and the Cu;<br />

parts of the Ag sintering layer remained at the left side<br />

Different parameters and processes needed to be<br />

optimized during the setup: the dispensing of the suspension,<br />

the drying of it before bonding, the selection of force,<br />

temperature and bond time. Finally, samples were bonded<br />

for thermal analysis applying a force of 30 MPa and a<br />

temperature of 250°C for 120 s. Shear testing was performed<br />

and a shear force of about 40 MPa was measured. The<br />

sintering height after dispensing was ~270 µm and after<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 229<br />

ISBN: 978-2-35500-010-2


onding it was compressed down to ~60 µm. As shear mode<br />

a fracture between Ag and the Cu surface was detected (see<br />

figure 17).<br />

III B. HI-LAMBDA TESTER<br />

A first description of the set-up has been given in [6]. The<br />

idea of this test system is to measure the thermal<br />

conductivity of very highly conductive metal-based TIMs<br />

with the help of a steady state technique, using copper bars<br />

as thermal resistors for their large thermal conductivity and<br />

hence good resolution at manageable size. Solders as well as<br />

sintered mono-metal layers could be tested with this method,<br />

that the thermal conductivity is measured under processing<br />

conditions as later in the real application.<br />

7-9 October 2009, Leuven, Belgium<br />

NTC-Resistors as temperature sensors which allow to reach<br />

an accuracy of 0.05 K for the six calibrated temperature<br />

sensors. From that we expect an exceptionally good<br />

resolution of the thermal conductivity in the measurement.<br />

The measurements were done under vacuum to minimize the<br />

convection.<br />

From the comparison between experiments and simulation<br />

it could be find that the accuracy of the results strongly<br />

depends of the dimension of the bonding area. Therefore we<br />

measured the contact area of all tested samples. Figure 19<br />

shows the target-performance comparison of one tested<br />

sample.<br />

Table 1: Correlation Experiment vs Simulation<br />

Sensor # Exp [°C] Sim [°C] ∆T [°C]<br />

T1 97,44 97,41 0,02<br />

T2 93,95 93,85 0,11<br />

T3 90,20 90,26 0,06<br />

T4 32,30 32,25 0,04<br />

T5 28,63 28,67 0,04<br />

T6 25,05 25,10 0,05<br />

λ [W/mK] 403 ± 15<br />

Table 1 shows the compare between experiments and<br />

simulations for one test thermal conductivity of the used Cu<br />

is 390 W/mK, a value measured by the laser flash method.<br />

The heat flow can be measured by knowledge of the<br />

geometry and thermal conductivity of the Cu test sample.<br />

Fig 18: Design of hi-lambda tester.<br />

The Cu bars are 30 mm long<br />

Figure 18 shows the improved design of hi-lambda tester.<br />

Temperatures are measured in six positions. To evaluate the<br />

thermal conductivity of the TIM we compare the measured<br />

temperatures with the equivalent FE-Model.<br />

Fig 19: Microscopy image of contact area. Due to<br />

artefacts during processing of the paste the area is<br />

slightly smaller that the maximum possible value.<br />

Therefore we have to guarantee an exact temperature<br />

measurement. This can be achieved by using calibrated<br />

T [°C]<br />

98<br />

97<br />

96<br />

95<br />

94<br />

93<br />

92<br />

91<br />

90<br />

89<br />

32<br />

31<br />

30<br />

29<br />

28<br />

27<br />

26<br />

25<br />

24<br />

T1<br />

T2<br />

T3<br />

T4<br />

0 1 2 3 4 5 6 7 8 9 10 11 12 13<br />

Position [mm]<br />

T5<br />

Exp<br />

Sim<br />

Fig. 20: Correlation Experiment vs Simulation<br />

Two samples with different BLT of sinter Ag were<br />

measured and simulated. As one can see in table 1 and figure<br />

20, the results of simulation and experiment agree very well<br />

to yield results for the thermal conductivity of sintered silver<br />

between 395 W/mK and 410 W/mK. This value comes very<br />

T6<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 230<br />

ISBN: 978-2-35500-010-2


close already to the literature value of a theoretically<br />

possible 420 W/mK for pure silver.<br />

To estimate the accuracy of the method, we have<br />

calculated its sensitivity first. This can then be compared to<br />

the mean accuracy of the temperature measurement δT fitted<br />

for the three sensor values along the Cu-bar.<br />

The sensitivity of the method is expected to depend on the<br />

BLT of the TIM. The simulated curves are given in<br />

figure 21 for different TIM-conductivities and BLTs.<br />

Naturally the sensitivity is higher for the larger BLT and<br />

yields the value of S = 0.0046 mK 2 /W. With δT = 0.045 K<br />

we obtain a resolution of around δλ = ± 10 K for the thicker<br />

BLT.<br />

7-9 October 2009, Leuven, Belgium<br />

introduced by the technological modifications. The accuracy<br />

obtainable at low bond-line thicknesses and highly<br />

conductive interface materials is evaluated and commented<br />

on. More results will follow and be presented soon in<br />

another paper, encompassing also reliability aspects of the<br />

new technologies.<br />

ACKNOWLEDGMENTS<br />

The authors appreciate the support of the EU FP 7<br />

Integrated Project “Nanopack”. Further thanks go to the<br />

authors’ Fraunhofer colleagues A. Gollhardt, S. Huber,<br />

M. Koch, K.-F. Becker, T. Braun and M. von Suchodolez.<br />

∆T [K]<br />

0.12<br />

0.10<br />

0.08<br />

0.06<br />

0.04<br />

0.02<br />

0.00<br />

-0.02<br />

-0.04<br />

BLT = 28 µm<br />

BLT = 71 µm<br />

390 395 400 405 410 415 420<br />

λ Ag<br />

[W/mK]<br />

Fig 21: Sensitivity of measurements for two different BLT<br />

For the thinner BLT we obtain δλ = ± 20 W/mK. This<br />

means that preferably thick BLTs should be used during<br />

characterisation. However, large BLTs of Ag powder are<br />

difficult to realise technologically.<br />

CONCLUSIONS & OUTLOOK<br />

In this paper we have stressed the need for advanced<br />

thermal interface technology and presented two approaches<br />

to process and characterize theses structures. A so-called<br />

“nano-sponge” technology has been introduced with<br />

interesting structural, mechanical and thermal features to<br />

enhance heat transfer across the interface. Nanoindentation<br />

has been used to verify the increased deformability of the<br />

sponge to possibly allow enhanced contact to filler particles.<br />

A second technology using Ag-powder on Ag surface<br />

metallisation has been presented and tested successfully<br />

thermally and mechanically.<br />

It has also been pointed out that usually a variety of<br />

characterisation methods is made necessary as each new<br />

interface technology has to be thermally measured as<br />

processed in the real device. In this vein two test stands have<br />

been designed and developed to measure the effect<br />

REFERENCES<br />

[1] R. Viswanath, V. Wakharkar, A. Watwe and V.<br />

Lebonheur. Thermal Performance Requirements from<br />

Silicon to Systems. Intel Technology Journal Q3, pp. 1-<br />

16, 2000.<br />

[2] S.V. Garimella, Y.K. Joshi, A. Bar-Cohen, R. Mahajan,<br />

K.C. Toh, V.P. Baelmans, J. Lohan, B. Sammakia, and<br />

F. Andros. Thermal challenges in next generation<br />

electronic systems – summary of panel presentations<br />

and discussions. IEEE Trans. Components and<br />

Packaging Technologies, 25(4), pp. 569–575, 2002.<br />

[3] Liu, Y., S. Irving, T. Luk, and D. Kinzer. Trends of<br />

Power Electronics Packaging and Modeling. Proc. 10th<br />

EPTC, 2008.<br />

[4] M. Rencz. Testing interface thermal resistance, Proc.<br />

9th EPTC, 2007.<br />

[5] R. Schacht, D. May, B. Wunderle, O. Wittler, A.<br />

Gollhardt, B. Michel and H. Reichl. Characterization of<br />

Thermal Interface Materials to Support Thermal<br />

Simulation. Proc. 12th Therminic 2006, Sep 27-29,<br />

Nice, Côte d’Azur, France, 2006.<br />

[6] B. Wunderle, J. Kleff, D. May, M. Abo Ras, R. Schacht,<br />

H. Oppermann, J. Keller and B. Michel. In-situ<br />

measurement of various thin Bond-Line-Thickness<br />

Thermal Interface Materials with Correlation to<br />

Structural Features. Proc 14 th Therminic 2008, Rome,<br />

Sept 24-26 2008<br />

[7] R. Kempers, P. Kolodner, A. Lyons and A.J. Robinson.<br />

Development Of A High-Accuracy Thermal Interface<br />

Material Tester. Proc. 10 th Itherm Conf. 2008.<br />

[8] A. Devos, J.-F. Robillard, R. Côte et P. Emery. High<br />

Laser-Wavelength Sensitivity of the Picosecond<br />

Ultrasonic Response in Transparent Thin Films,<br />

Physical Review B 74, 6, 064114, 2006<br />

[9] R. J. Linderman, T. Brunschwiler, U. Kloter, H. Toy,<br />

B.Michel, Hierarchical Nested Surface Channels for<br />

Reduced Particle Stacking and Low-Resistance Thermal<br />

Interfaces, Proc. 23st IEEE SEMI-THERM Symp.,<br />

2007, pp. 87-94.<br />

[10] D.F. Rae and P. Borgesen. Optimising the automated<br />

assembly process for filled polymer-based themal<br />

bondlines. APEX 2009.<br />

[11] B. Wunderle and B. Michel. Lifetime Modeling for<br />

Microsystems Integration – from Nano to Systems. J. of<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 231<br />

ISBN: 978-2-35500-010-2


7-9 October 2009, Leuven, Belgium<br />

Microsystem Technologies, Vol 15, No 6, pp 799.813,<br />

Juni 2009<br />

[12] B. Wunderle, K.-F. Becker, R. Sinning, O. Wittler, R.<br />

Schacht, H. Walter, M. Schneider-Ramelow, K. Halser,<br />

N. Simper, B. Michel and H. Reichl. Thermo-<br />

Mechanical Reliability during Technology Development<br />

of Power Chip-on-Board Assemblies with<br />

Encapsulation. J. Microsystem Technologies, 2009,<br />

DOI :10.1007/s00542-009-0907-1<br />

[13] Standard Test Method for Thermal Transmission<br />

Properties of Thermally Conductive Electrical<br />

Insulation Materials. ASTM Standard D-5470-06,<br />

Copyright ASTM International, Conshohocken, PA,<br />

2007.<br />

[14] O. Wittler, H. Walter, R. Dudek, W. Faust, W. Jun and<br />

B. Michel. Deformation and Fatigue Behaviour of AuSn<br />

Interconnects. Proc. EPTC, 2006<br />

[15] O. Wittler, R. Mroßko, S. Huber, A. Gollhardt and<br />

B. Michel. Characterisation and modelling of the<br />

nanoindentation experiment in Au layers. Proc. 10 th<br />

EuroSimE Conf. 2009.<br />

©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC 2009 232<br />

ISBN: 978-2-35500-010-2


7-9 October 7-9 October 2009, 2009, Leuven, Leuven, Belgium Belgium<br />

Temperature as as a a First-Class Citizen Citizen in in Chip Chip Design Design<br />

Abo Ras M. 224<br />

Amon Cristina 197<br />

Antón Raúl 180<br />

Aranyosi Attila 23<br />

Atienza David 50<br />

Abstract- Abstract- With With new technology new technology trends, trends, arising arising through through a a<br />

confluence confluence Avenas of factors of Y. factors such as such Moore's as Moore's law scaling law scaling and 17 3D and 3D<br />

integration, integration,<br />

Baelmans the role the of role<br />

M. thermal of thermal design design is inexorably 157,<br />

is inexorably<br />

163, shifting 174<br />

shifting from from<br />

package-centric package-centric issues issues towards towards on-chip on-chip optimizations. optimizations. This talk This talk<br />

Bándy E. 61<br />

overviews overviews the roots the of roots this of change, this change, the circuit the circuit effects effects of elevated of elevated<br />

temperatures, temperatures, Bazaz and Shafaat on-chip and on-chip A. optimizations optimizations for effective for effective thermal 40 thermal<br />

management. management.<br />

Beyne E. 56<br />

Bezama Pepe 150<br />

Bieniek<br />

Text unavailable Text unavailable T.<br />

at the at time the of time printing. of printing. 84<br />

Blansaer Eddy 113<br />

Brizar Guy 113<br />

Brunschwiler Thomas 150<br />

Burzo Mihai G. 36, 130<br />

Caviglia Daniele D. 66<br />

Chen C. C. 8<br />

Chen Y. C. 8<br />

Cheng E. 45<br />

Cheng Y. T. 8<br />

Colgan Evan 150<br />

Cupak M. 45<br />

De Wolf I. 56<br />

Delesie T. 174<br />

Demoustier S. 192<br />

Deweerdt R. 113<br />

Dia H. 87<br />

Dietrich L. 224<br />

Djafari-Rouhani Bahram 203<br />

Donose R. 163<br />

Dorkel J-M. 87<br />

Duflos F. 113<br />

Engelmann G. 224<br />

Fiorini P. 95<br />

Földváry Á. 61<br />

Garibbo Alessandro 66<br />

Garimella Suresh V. 101<br />

Gillet Jean-Numa 203<br />

Gillon Renaud 113<br />

Glezer Ari 186<br />

Goicochea Javier V. 197<br />

Gonzalez M. 113<br />

Author Index<br />

Sachin Sachin S. Sapatnekar S. Sapatnekar<br />

University University of Minnesota, of Minnesota,<br />

USA USA Goodnick S. M. 195<br />

Grabiec P. 84<br />

Guédon S. 17<br />

Hammel Ernst 216<br />

Hantos Gusztáv 121<br />

Harirchian Tannaz 101<br />

Hasan M. M. 40<br />

Hauck Torsten 124<br />

Hidalgo Pablo 186<br />

Hodes Marc 75<br />

Hong Yuping 13<br />

Hsiao Hsu-Liang 8<br />

Hutchison M. 168<br />

Janczyk G. 84<br />

Janicki M. 80, 136<br />

Janssen J.H.J. 31<br />

Joshi Yogendra 186<br />

Kaiser S. 17<br />

Kashmiri Mahdi 140<br />

Kempers Roger 210<br />

Kharitonov I.A. 70<br />

Khodabandeh Rahmatollah 180<br />

Kim Kyoung Joon 75<br />

Klein M. 224<br />

Klieber Robert 117<br />

Kollár Ernő 121<br />

Komarov Pavel L. 36, 130<br />

Kota Krishna 186<br />

Kozynko P.A. 70<br />

Kulesza Z. 80, 136<br />

Lee Chia-Yu 8<br />

Leonov V. 95<br />

Lerch Renee 117<br />

Li Yuening 13<br />

Lyons Alan 210<br />

Madrid Marcela 197<br />

Makinwa Kofi 140<br />

Maltz William 23<br />

Marchal P. 45<br />

Marcu Marius 144<br />

Marechal Y. 17<br />

Martínez-Galván Eduardo 180<br />

Martins O. 17<br />

©<strong>EDA</strong> ©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC <strong>Publishing</strong>/THERMINIC 2009 2009 233 1 1<br />

ISBN: ISBN: 978-2-35500-010-2<br />

978-2-35500-010-2


7-9 October 7-9 October 2009, 2009, Leuven, Leuven, Belgium Belgium<br />

Temperature as as a First-Class a Citizen Citizen in Chip in Chip Design Design<br />

May D. 91, 224 Van der Plas G. 45<br />

Michel B. 91, 150, 224 Van Hoof C. 95<br />

Mrossko R. 224 Van Oevelen T. 157<br />

Sachin Sachin S. Sapatnekar S. Sapatnekar<br />

Napieralski A. 80, 136 University University of Minnesota, of van Minnesota, Vroonhoven Caspar 140<br />

Nikolić D. 168 USA USA Vanderstraeten D. 113<br />

Oppermann H. 224 Vandevelde B. 45, 56, 113<br />

Oprins H. 45, 56 Vasileska D. 195<br />

Palm Björn 180 Vass-Varnai Andras 219<br />

Paoli Andrea 66 Veendrick H.J.M. 31<br />

Abstract- With new technology trends, arising through a<br />

Paredes confluence Stephan of factors such as Moore's law 150 scaling and 3D Vullers R. J. M. 95<br />

Peltier integration, N. the role of thermal design is inexorably 17 shifting from Wittler O. 224<br />

package-centric issues towards on-chip optimizations. This talk<br />

Pennec overviews Yan the roots of this change, the circuit 203 effects of elevated Wu Mount-Learn 8<br />

Persoons temperatures, T. and on-chip optimizations 163, for 174 effective thermal Wunderle B. 91, 224<br />

management.<br />

Petrosjanc K.O. 70 Ziaei A. 192<br />

Plesz B. 61<br />

Raad Peter E. 36, 130<br />

Text unavailable Text unavailable at the time at the of time printing. of printing.<br />

Raghupathy Arun P. 23<br />

Raleva K. 195<br />

Ramos Juan Carlos 180<br />

Reiter Werner 216<br />

Rencz Marta 219<br />

Robinson A.J. 168<br />

Robinson Anthony 210<br />

Rogiers F. 157<br />

Rothuizen Hugo 150<br />

Rudnyi Evgenii 124<br />

Ryabov N.I. 70<br />

Sabry N. 2<br />

Saenen T. 163, 174<br />

Sapatnekar Sachin S. 1<br />

Sapin P.T. 168<br />

Sarkany Zoltan 219<br />

Sauveplane J.B. 87<br />

Schacht R. 91, 224<br />

Seminara Lucia 66<br />

Shakoor Rana I. 40<br />

Shi Jian 13<br />

Singh C. 8<br />

Srinivasan A. 45<br />

Székely Vladimir 209<br />

Szermer 80, 136<br />

Szynka J. 84<br />

Tang Xinhe 216<br />

Teulings Wim 124<br />

Torfs T. 95<br />

Torregiani C. 56<br />

Tounsi P. 87<br />

Valle Maurizio 66<br />

Abstract- With new technology trends, arising through a<br />

confluence of factors such as Moore's law scaling and 3D<br />

integration, the role of thermal design is inexorably shifting from<br />

package-centric issues towards on-chip optimizations. This talk<br />

overviews the roots of this change, the circuit effects of elevated<br />

temperatures, and on-chip optimizations for effective thermal<br />

management.<br />

©<strong>EDA</strong> ©<strong>EDA</strong> <strong>Publishing</strong>/THERMINIC <strong>Publishing</strong>/THERMINIC 2009 2009 234 1 1<br />

ISBN: ISBN: 978-2-35500-010-2<br />

978-2-35500-010-2

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!