12.07.2015 Views

"Linear Equation Solver using CMOS Technology" - Microelectronic ...

"Linear Equation Solver using CMOS Technology" - Microelectronic ...

"Linear Equation Solver using CMOS Technology" - Microelectronic ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

A1.3. XOR Cell .................................................................................................................................... 48A1.4. DLATCH Cell .............................................................................................................................. 49A1.5. DFF Cell ..................................................................................................................................... 50A1.6. Input Buffers ............................................................................................................................ 51A1.7. Simulation Setups..................................................................................................................... 52A1.7.1. Simulation Setup for the Initial <strong>Solver</strong> (4 unknowns) ........................................................... 52A1.7.2. Simulation Setup for the Revised <strong>Solver</strong> (4 unknowns) ........................................................ 53A1.7.3. Simulation Setup for the Final <strong>Solver</strong> (8 unknowns) ............................................................. 54A2 VHDL Codes ................................................................................................................................. 55A2.1. Initial <strong>Solver</strong> (4 unknowns) ............................................................................................... 55A2.1.1. Gen<strong>Solver</strong>4_noDFF.vhd ............................................................................................... 55A2.2. Revised <strong>Solver</strong> (4 unknowns) ............................................................................................ 56A2.2.1. Gen<strong>Solver</strong>4.vhd ............................................................................................................ 56A2.2.2. Gen<strong>Solver</strong>4_tb.vhd ....................................................................................................... 59A2.3. Final <strong>Solver</strong> (4 unknowns)................................................................................................. 59A2.3.1. CK_prioritizer.vhd ............................................................................................................. 59A3 MATLAB codes.............................................................................................................................. 59A3.1. All_input_matrices_4x4.m................................................................................................ 59A3.2. Rand_GenTestcase.m ....................................................................................................... 615

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!