13.07.2015 Views

March - April 2010 - Chip Scale Review

March - April 2010 - Chip Scale Review

March - April 2010 - Chip Scale Review

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

layers of active electronic componentsintegrated vertically through TSV (it usedto be called vertical interconnection) intoa single circuit. It was trigged by theadvance of silicon-on-insulator (SOI)technology, first reported by Gat and hiscolleagues more than 30 years ago, whensemiconductor people thought Moore’slaw could be hitting the wall by the 1990s.Of course, the facts show that it did not.3D IC Integration versus 3D SiIntegrationThe biggest difference between 3D ICintegration and 3D Si integration is that thelatter does not use bumps (bumpless), suchas Cu, solder, or Au, or conductive adhesiveto bond/connect two wafers together(Figure 2 and Figure 3). There is no (orinfinitesimal) gap between wafers andthermal management can be a very bigproblem. In addition, W2W is the only wayto perform the bonding operation. Unlike3D IC integration, which utilizes C2C, C2W,or W2W bonding methods, the yield is abig issue (some bad chips are assembledon good chips). Furthermore, TSV size for3D Si integration is much smaller (~1μm andeventually sub-micron, see Figure 2) andthus the TSV manufacturing yields are lower.Finally, the bonding conditionrequirements for 3D Si integration such assurface cleanness, surface flatness, and theclass of clean room (which heavily affectsthe yield) are much tougher than those for3D IC integration (Table 1). There are twodifferent W2W bonding methods for 3D Siintegration, Cu-to-Cu 4 and oxide-to-oxide 5as shown in Figure 5 and Figure 6respectively.The advantages of 3D Si integration over3D IC integration are better electricalperformance, less power, lower profile, lessweight, and potentially lower cost. Thethermal management of 3D Si integration ismuch tougher than that of 3D IC integration.Semiconductor personnel have been trying3D Si integration for more than 25 yearsand there is no volume production in sightin the next 10.TSV Process FlowThere are many TSV processes, forexample, via first, via last, and via middle.The most likely manufacturing processused by the industry for making TSV w/oRDL for 3D IC integration is shown inFigure 7. There are five key steps tomaking the TSV:1.Via formation by either deep reactiveion etch (DRIE) or laser drilling2. SiO 2deposition by either thermaloxidation for passive interposers orPECVD (plasma enhanced chemicalvapor deposition)3. Barrier and seed layer deposition byphysical vapor deposition (PVD) orelectrografting (eG)4. Cu plating or W (tungsten) sputteringto fill the vias5.CMP (chemical and mechanicalpolishing) of Cu plating residues(overburden)For bare wafers and passiveinterposers, a SiO 2layer is needed beforethe photoresist. For active chips (forexample, ASICs and processors) andactive interposers, because of theirpassivation layer, only cleaning isneeded. Next, the front-side metallization/Figure 6. MIT’s W2W (SiO2-SiO2) bondingTable 1. Solder microbump bonding versusbumpless bondingFigure 5. IBM’s W2W (Cu-Cu) bonding24 <strong>Chip</strong> <strong>Scale</strong> <strong>Review</strong>. <strong>March</strong>/<strong>April</strong> <strong>2010</strong> . [<strong>Chip</strong><strong>Scale</strong><strong>Review</strong>.com]UBM is made on the blind TSV wafer,which is usually thicker than 300μm.In most 3D IC integration applications,the thickness of the passive/activeinterposers ranges from 100μm to 200μmand of the stacked memory chips, from 20μmto 50μm. Thus, most of the TSVs fabricatedare blind vias and a support wafer (carrier)is needed for the remaining processes.Once the carrier is temporarily bondedto the blind TSV wafer, it can be thinneddown to expose the TSV. Next are, forexample, backside metallization/UBM andwafer bumping. Then the carrier isdebonded from the TSV w/o RDL wafer.Finally, the TSV wafer is ready for eitherW2W bonding (recommended only forvery high chip yield wafers or one of themis not an active wafer, for example, thecap wafer for MEMS or the lens wafer forLED applications) or diced into individualchips for C2C or C2W bonding.The TSV size should be as small aspossible (< 30μm) because of less thermalexpansion mismatch between the Si (thermalexpansion coefficient (TEC) = 2.5x10 -6 / o C)and Cu (TEC = 18.5x10 -6 / o C) 1 , less Cuplating, higher throughput, and more spacefor routing. 5μm to 10μm vias for 3D ICintegration are not uncommon.As of today, the only 3D IC integrationvolume product (CMOS image sensor withTSV) is not using expensive“semiconductor” equipment such as theDRIE, PECVD, PVD, or CMP, but low-costPCB technology tools 6. Beside CMOSimage sensors, due to their simplicity, 3DMEMS 1 and 3D LED 1 are the most likelycandidates for applying low-cost 3D ICintegration technology. However, for highperformancememory stacking and passive/active interposers, the PCB technology maynot be able to handle the large number, smallsize, and/or fine pitch of vias, and theFigure 7. The most likely TSV manufacturingprocess

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!