01.11.2012 Aufrufe

Memory-Meister - elektronikJOURNAL

Memory-Meister - elektronikJOURNAL

Memory-Meister - elektronikJOURNAL

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

Bild: Xilinx<br />

Kein Hochstapler<br />

Xilinx bringt Stacked-Silicon-Interconnect-Technik<br />

Wie kommen mehr Logikgatter in einen Baustein, als auf einen Chip passen?<br />

Klar, man packt mehrere Chips ins Gehäuse. Mit einer neuen Stapeltechnik<br />

sorgen Xilinx und TSMC nun für hundert mal schnellere Verbindungen zwischen<br />

den nebeneinander liegenden Chips als bei monolithischen Bausteinen und sie<br />

erhöhen die Logikdichte auf das zwei- bis dreifache.<br />

Da steckt mehr drin: Mit<br />

der Stacked-Silicon-Interconnect-Technik<br />

hat<br />

Xilinx nach eigenen<br />

Angaben einen Durchbruch bei<br />

Logikkapazität, Bandbreite und<br />

Verlustleistung geschafft . Diese<br />

neue Technik kombiniert mehrere<br />

FPGA in einem Gehäuse. Nötig<br />

ist das bei Anwendungen, deren Bedarf an Rechenleistung und<br />

Bandbreite sich nur durch extreme Transistor- und Logikdichten<br />

stillen lässt. Durch den Einsatz von 3D-Packaging- und TSV-Techniken<br />

(Th rough-Silicon Vias, Durchkontaktierungen im Silizium)<br />

bei den 28-Nanometer-FPGA der Xilinx-7-Serie bieten die Targeted-Design-Plattformen<br />

mehr als die doppelte Performance, die<br />

mit den größten Ein-Chip-FPGA möglich ist.<br />

Auf zu neuen Applikationen<br />

Vincent Tong, Xilinx’ Senior Vice President, betont die Vorteile:<br />

„Die 28-Nanometer-FPGA der Xilinx-7-Serie mit bis zu zwei Millionen<br />

Logikzellen vergrößern die Palette an Applikationen, die<br />

mit programmierbarer Logik möglich sind. Unsere Stacked-Silicon-Interconnect-Technik<br />

macht diese Steigerung erst möglich.“<br />

Der Experte ergänzt, wie es dazu kam: „Fünf Jahre intensive Forschungs-<br />

und Entwicklungsarbeit von Xilinx, gekoppelt mit den<br />

führenden Technologien von TSMC, haben zu einer innovativen<br />

Lösung geführt, die es den Entwicklern von elektronischen Syste-<br />

Der Silizium-<br />

Interposer<br />

verbindet die<br />

einzelnen Chips im<br />

Gehäuse mit hoher<br />

Bandbreite und<br />

niedriger Latenz.<br />

Lokalthema<br />

Produkt des Monats<br />

”<br />

men erlaubt, die Vorzüge<br />

von FPGA weiter in ihrem<br />

Fertigungsablauf zu nutzen.“<br />

Shang-yi Chiang , Senior<br />

Vice President of R&D<br />

bei TSMC , führt weiter aus:<br />

„Verglichen mit traditionellen<br />

monolithischen FPGA<br />

ist das Multichip-Gehäuse<br />

ein innovativer Weg, hoch integrierte programmierbare Bausteine<br />

mit höherer Ausbeute und Zuverlässigkeit sowie verbesserten Eigenschaft<br />

en bezüglich des Temperaturgradienten und der Stresstoleranz<br />

zu realisieren. Die Anwendung von TSV und Silizium-<br />

Interposern bei der Umsetzung der Stacked-Silicon-Interconnect-<br />

Technik verspricht ein reduziertes Entwicklungsrisiko. Damit ist<br />

Xilinx mit gut entwickelten Prüfmustern, die die Kriterien des Unternehmens<br />

zum Nachweis der Entwickelbarkeit, Validierung der<br />

Fertigung und Abschätzung der Zuverlässigkeit erfüllen, auf dem<br />

besten Weg zur Serienproduktion.“ Erste Bausteine sind für die<br />

zweite Hälft e 2011 geplant.<br />

Innerhalb der Stapelstruktur werden die Daten zwischen mehreren<br />

benachbarten FPGA-Chips über mehr als 10 000 Routing-Verbindungen<br />

übertragen. Verglichen mit den Standard-I/O-Schnittstellen,<br />

die nötig sind, um zwei FPGA zusammen auf einer Leiterplatte<br />

zu integrieren, liefert die Stacked-Silicon-Interconnect-<br />

Technik eine über 100fach größere Verbindungsbandbreite von<br />

Chip zu Chip pro Watt, bei einem Fünft el der Latenz, ohne serielle<br />

oder parallele I/O-Ressourcen nutzen zu müssen. Weil die nackten<br />

Chips nahe zusammen und mit dem Ball-Grid-Array verbunden<br />

sind, kann Xilinx Probleme mit dem Wärmefl uss und im Designablauf<br />

bei den Entwicklungswerkzeugen vermeiden, die sonst bei<br />

Einsatz einer rein vertikalen Chip-Stapel-Methode vorhanden wären.<br />

Die 28-Nanometer-HPL-Prozesstechnik (High Performance,<br />

Low Power) bietet ein komfortables Leistungsbudget. (lei) ■<br />

Mit der industrieweit führenden<br />

Logikdichte von bis zu zwei<br />

Millionen Logikzellen werden<br />

neue Applikationen für FPGA möglich:<br />

Vincent Tong ist Senior VP Worldwide<br />

Quality and New Product Introductions<br />

bei Xilinx in San Jose, Kalifornien.<br />

PRODUKT<br />

DES MONATS<br />

infoDIREKT www.elektronikjournal.com<br />

599ejl1110<br />

Vorteil Mehrere Chips in einem Gehäuse zu stapeln, spart Platz auf der<br />

Platine und gibt mehr Rechenleistung sowie höhere Bandbreiten.<br />

www.elektronikjournal.com <strong>elektronikJOURNAL</strong> 11 / 2010 69

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!