24.03.2013 Views

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Analog blocks Digital domain<br />

Layout Editor<br />

Abstract<br />

generation<br />

Reference library<br />

Layout Integration Flow<br />

Module<br />

declaration<br />

Verilog in<br />

P&R with SE<br />

layout view<br />

generation<br />

Stream Out GDSII<br />

Layout Verification<br />

Verilog netlist<br />

Analog + I/O<br />

DRC/LVS<br />

cell & timing<br />

library<br />

Reference library<br />

Verilog output<br />

103 <strong>Mixed</strong>-<strong>Signal</strong> <strong>IC</strong> <strong>Design</strong> <strong>Kit</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!