24.03.2013 Views

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Prepare for Layout Verification<br />

• Using Calibre DRC and LVS for layout verification.<br />

• In SE, you need to export Verilog and GDSII file:<br />

– using File Export Verilog… to export Verilog file.<br />

– using File Export GDSII… to export GDSII file.<br />

• Choose the gds2.map file to add text<br />

• Set suitable value of OUTPUT.ORIGIN.X and OUTPUT.ORIGIN.Y<br />

to avoid the DRC error<br />

144 <strong>Mixed</strong>-<strong>Signal</strong> <strong>IC</strong> <strong>Design</strong> <strong>Kit</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!