24.03.2013 Views

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Modelwrtier:<br />

the utility for creating<br />

Verilog-A models for<br />

Cadence analog<br />

model library.<br />

Use mouse select<br />

the desired<br />

component models<br />

then go to the next<br />

button.<br />

Create Verilog-A Models by<br />

Modelwriter<br />

59 <strong>Mixed</strong>-<strong>Signal</strong> <strong>IC</strong> <strong>Design</strong> <strong>Kit</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!