24.03.2013 Views

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

• After you specify technology information and set the<br />

library, you have to import information on the cells for<br />

which you want to create abstracts.<br />

DEF<br />

Importing Data<br />

Import Layout Data<br />

Cadence<br />

Abstract Generator<br />

Import Logical Data<br />

GDSII<br />

Verilog TLF<br />

111 <strong>Mixed</strong>-<strong>Signal</strong> <strong>IC</strong> <strong>Design</strong> <strong>Kit</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!