24.03.2013 Views

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

Mixed-Signal IC Design Kit Training Manual - Electrical & Computer ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

• Since there might not be any Verilog statement that can<br />

model the analog block, the analog block contains only I/O<br />

port information.<br />

• Must be enabled Don’t Touch when synthesis.<br />

– Using Attributes Optimization Directives <strong>Design</strong><br />

module A_module_1(J, T, Y) ;<br />

input [7:0] J, T ;<br />

output Y;<br />

endmodule<br />

Module Declaration<br />

module core_top(clk, In, Y)<br />

input clk ;<br />

input [5:0] In ;<br />

Output Y ;<br />

latcha La1 ( clk, In, J ) ;<br />

A_module_1 IM1 ( J, T, Y) ;<br />

endmodule<br />

120 <strong>Mixed</strong>-<strong>Signal</strong> <strong>IC</strong> <strong>Design</strong> <strong>Kit</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!