12.07.2015 Views

Power Optimization and Prediction Techniques for FPGAs - Jason H ...

Power Optimization and Prediction Techniques for FPGAs - Jason H ...

Power Optimization and Prediction Techniques for FPGAs - Jason H ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

1.3 Thesis Contributions(look-up-tables) that allows a logic signal in an FPGA design to be interchanged with itscomplemented <strong>for</strong>m without any area or delay penalty. This property is applied to selectpolarities <strong>for</strong> logic signals so that FPGA hardware structures spend the majority of timein low leakage states. The second approach to leakage optimization consists of alteringthe routing step of the FPGA CAD flow to encourage more frequent use of routing resourcesthat have low leakage power consumptions. Such “leakage-aware routing” allowsactive leakage to be further reduced, without compromising design per<strong>for</strong>mance. In anexperimental study, active leakage power is optimized in circuits mapped into a stateof-the-art90nm commercial FPGA. Combined, the two approaches offer a total activeleakage power reduction of 30%, on average. This work has been published in [Ande 04f]<strong>and</strong> [Ande 05a]. To the author’s knowledge, this represents the first published work onactive leakage optimization in <strong>FPGAs</strong>.Chapter 4 presents circuit-level techniques <strong>for</strong> reducing power dissipation in FPGA interconnect.It proposes a family of new FPGA routing switch designs that are programmableto operate in three different modes: high-speed, low-power, or sleep. High-speed modeprovides similar power <strong>and</strong> per<strong>for</strong>mance to traditional FPGA routing switches. In lowpowermode, speed is curtailed in order to reduce power consumption. Leakage is reducedby 28-52% in low-power versus high-speed mode, depending on the particular switch designselected. Dynamic power is reduced by 28-31% in low-power mode. Leakage powerin sleep mode, which is suitable <strong>for</strong> unused routing switches, is 61-79% lower than inhigh-speed mode. Each of the proposed switch designs has a different power/area/speedtrade-off. All of the designs require only minor changes to a traditional routing switch,making them easy to incorporate into current FPGA interconnect. The applicability ofthe new switches is motivated through an analysis of timing slack in industrial FPGA designs.Specifically, it is observed that a considerable fraction of routing switches may beslowed down (operate in low-power mode), without impacting overall design per<strong>for</strong>mance.This work has been published in [Ande 04c], [Ande 04d], <strong>and</strong> [Ande 05b].5

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!