12.07.2015 Views

Logic Synthesis with VHDL System Synthesis Bob Reese Electrical ...

Logic Synthesis with VHDL System Synthesis Bob Reese Electrical ...

Logic Synthesis with VHDL System Synthesis Bob Reese Electrical ...

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Electrical</strong> & Computer EngineeringMississippi State Universityiscas Package DeclarationLibrary IEEE;use IEEE.std_logic_1164.all;package iscas istype IARRAY is array (natural range ) of integer;procedure ripple_adder (a,b: in std_logic_vector; cin: in std_logic;sum: inout std_logic_vector; cout: out std_logic);procedure carry_select_adder(groups: iarray; a,b: in std_logic_vector; cin: in std_logic;sum: inout std_logic_vector; cout: out std_logic);end iscas;⇒ We need to declare an array type for integers; call this IARRAY.This type will be used to pass in an integer array to the carry_select_adderprocedure; the integer array will be define the stagesizes for the adder.⇒ Since xor3 is to be local to the iscas package; it is not in the packagedeclaration. However, if it was to be made externally available,its declaration would be:function xor3 (a,b,c: in std_logic) return std_logic;<strong>Bob</strong> <strong>Reese</strong> 5/95<strong>System</strong>–10<strong>System</strong> Design <strong>with</strong> <strong>VHDL</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!