12.07.2015 Views

Logic Synthesis with VHDL System Synthesis Bob Reese Electrical ...

Logic Synthesis with VHDL System Synthesis Bob Reese Electrical ...

Logic Synthesis with VHDL System Synthesis Bob Reese Electrical ...

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Electrical</strong> & Computer EngineeringMississippi State University<strong>VHDL</strong> Functions⇒ General form:function function_name ( parameter list) return return_type is{variable declarations}begin{sequential statements}end function_name;function xor3 (a,b,c: in std_logic) return std_logic isbeginreturn (a xor b xor c);end xor3;⇒ A <strong>VHDL</strong> function computes a return value based upon its parameterlist.→ All parameters passed to a <strong>VHDL</strong> function must be of mode in;i.e, the function is not allowed to modify any of the functionparameters.→ The default class of the elements in a parameter list for eitherprocedures or functions is variable.→ Signals can be passed in the parameter list; in this case theparameter list would look like:(signal a, b, c: std_logic)→ More on the difference between variables and signals will begiven later.<strong>Bob</strong> <strong>Reese</strong> 5/95<strong>System</strong>–4<strong>System</strong> Design <strong>with</strong> <strong>VHDL</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!