12.07.2015 Views

Logic Synthesis with VHDL System Synthesis Bob Reese Electrical ...

Logic Synthesis with VHDL System Synthesis Bob Reese Electrical ...

Logic Synthesis with VHDL System Synthesis Bob Reese Electrical ...

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Electrical</strong> & Computer EngineeringMississippi State University<strong>VHDL</strong> File for BlackJack Control (cont.)comb: process (p_state, ace11flag_pstate, broke_pstate, stand_pstate,acecard, card_rdy_dly, card_rdy_sync, score16gt, score21gt)beginsel

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!