06.08.2013 Views

POSITIP 855 - heidenhain - DR. JOHANNES HEIDENHAIN GmbH

POSITIP 855 - heidenhain - DR. JOHANNES HEIDENHAIN GmbH

POSITIP 855 - heidenhain - DR. JOHANNES HEIDENHAIN GmbH

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

II - 5 Measured Value Output<br />

Starting measured value output<br />

Starting measured value output over external switching input<br />

You can start start measured value output over the switching input<br />

at the D-sub connection EXT by sending a pulse or by making<br />

contact.<br />

Contact at pin 9: make contact against 0 V<br />

Pulse at pin 8: pulse duration te ³ 1.2 µs<br />

The contact or pulse can also be sent over a TTL logic device<br />

(such as SN 74 LS XX):<br />

U H ³ 3.9 V (U MAX = 15 V)<br />

U L £ 0.9 V with I L £ 6 mA<br />

te: Minimum duration, pulse<br />

te ³ 1.2 ms<br />

te: Minimum duration, contact<br />

te ³ 7 ms<br />

t1: Delay between pulse and internal latch<br />

t1 £ 0.8 µs<br />

t1: Delay between contact and internal latch<br />

t1 £ 4.5 ms<br />

t2: Delay between internal latch and measured value output<br />

t2 £ 30 ms + (5 ms · N)<br />

N = number of rotary axes with Deg/Min/Sec display<br />

t3: Delay between end of data output and next latch over<br />

external switching input<br />

t3 ³ 0 ms<br />

tD: Duration of measured value output<br />

The duration of measured value output (tD) depends on:<br />

• The selected baud rate (BR)<br />

• The number of axes (M)<br />

• The number of blank lines (L)<br />

tD =<br />

176 · M + L · 11<br />

[s]<br />

BR<br />

Fig.47: Time diagram for measured value<br />

output over external switching input<br />

<strong>POSITIP</strong> <strong>855</strong> Technical Information 103<br />

PIN 9<br />

PIN 1<br />

(0V)<br />

EXT<br />

EXT<br />

PIN 8<br />

PIN 1<br />

(0V)<br />

Fig.46: Signal by make contact against 0 V or<br />

by pulse<br />

TXD<br />

t e<br />

t 1<br />

t 2<br />

t D<br />

t e<br />

t 3

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!