31.01.2013 Views

CS1000 Software Input Output Reference - BT Business

CS1000 Software Input Output Reference - BT Business

CS1000 Software Input Output Reference - BT Business

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

LD 16: Route Data Block, Automatic Trunk Maintenance<br />

Prompt Response Comment<br />

MR aaa Message Registration (aaa = (NO), DURC, ENDC,<br />

PPM, RVB, STAC, or XLD)<br />

DSPD (NO) YES Real Time AOC Display<br />

PANS (YES) NO Pseudo Answer<br />

RACD (NO) YES Route traffic information in ACD Reports<br />

RUCS 0-9999 Route Unit Cost<br />

RURC x y Route Unit <strong>Reference</strong> Cost<br />

RUCF x y Route Unit Conversion Factor<br />

MULT (NO) YES Multiplier for Charge Information<br />

DSPT 0-(10)-60 Charge Display Timer<br />

RPPM xxx Real-time Periodic Pulse Metering polling time in<br />

seconds<br />

A1MR (NO) YES Answer is First Meter pulse<br />

MANO (NO) YES Manual Outgoing trunk route<br />

EQAR (NO) YES Enable Equal Access Restrictions<br />

- GCR (NO) YES General Carrier Restriction to restrict Equal Access<br />

calls<br />

- - NTOL (DENY) ALOW North American Toll calls (example, 1+calls)<br />

- - ITOL (DENY) ALOW International Toll calls (example, 011+calls)<br />

- SCR (NO) YES Selective Carrier Restriction to restrict Equal Access<br />

calls<br />

DTOS (NO) YES Dial Tone on Outgoing Seizure<br />

FRL 0-7 0-254 Facility Restriction Level<br />

OHQ (NO) YES Off-Hook Queuing<br />

OHQT (0)-63 Off-Hook Queue Threshold<br />

CBQ (NO) YES Call Back Queuing<br />

NDIG (2)-7 Number of Digits<br />

AUTH (NO) YES Authcode<br />

TDET (NO) YES Tone Detector required<br />

TTBL (0)-31 Tone Table number<br />

PNNC (NO) YES Process Notification Networked Calls<br />

- PNDL 2-(6)-10 Process Notification Delay Timer in seconds<br />

- SLCT TONE MSG Select Tone or Message<br />

392 Nortel Communication Server 1000 April 2011

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!