29.04.2015 Views

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Ons<strong>et</strong> of Surface Inversion ( s =0)<br />

V BS<br />

V DS<br />

M O S<br />

V GS<br />

surface<br />

undoped<br />

+<br />

poly gate<br />

+ +<br />

+<br />

q b<br />

q s<br />

q s = 0<br />

p + body<br />

contact<br />

n +<br />

source<br />

– – –<br />

–<br />

n +<br />

drain<br />

p-type<br />

body<br />

+ charge terminating on – charge<br />

Energy<br />

Band<br />

Diagram<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 11

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!