29.04.2015 Views

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Self-Aligned Silicidation (S<strong>al</strong>icidation)<br />

• Need <strong>to</strong> reduce poly & diffusion R s , or g<strong>et</strong> severe I FET degradation<br />

poly<br />

1<br />

diffusion<br />

STI<br />

well<br />

Deposit sicilide m<strong>et</strong><strong>al</strong> (Ti, Co, Ni)<br />

3<br />

Strip unreacted m<strong>et</strong><strong>al</strong><br />

2<br />

4<br />

RTA1 (low temperature)<br />

Selective <strong>for</strong>mation of m<strong>et</strong><strong>al</strong><br />

silicide from m<strong>et</strong><strong>al</strong> reaction with Si<br />

RTA2 (high temperature)<br />

Trans<strong>for</strong>ms silicide in<strong>to</strong> low-<br />

phase by consuming more Si<br />

•TiSi x CoSi x Ni/PtSi x<br />

• Sc<strong>al</strong>ing requires sm<strong>al</strong>ler grain size <strong>to</strong> minimize R s variation<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 47

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!