29.04.2015 Views

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Overcoming Short-Channel Effects<br />

Improve gate electrostatic control of<br />

channel charge<br />

• Higher body doping but higher V T<br />

• Sh<strong>al</strong>lower source/drain but higher R s<br />

• Thinner t ox but higher gate leakage<br />

• High-K dielectric <strong>to</strong> reduce tunneling<br />

• M<strong>et</strong><strong>al</strong> gate <strong>to</strong> overcome poly depl<strong>et</strong>ion<br />

• Fully-depl<strong>et</strong>ed structures (e.g., fins)<br />

Stressors <strong>for</strong> mobility enhancement<br />

1<br />

x j<br />

<br />

doping<br />

n +<br />

source<br />

gate<br />

n +<br />

drain<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 17

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!