29.04.2015 Views

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>2D</strong> <strong>to</strong> <strong>3D</strong><br />

<strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong><br />

<strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Alvin <strong>Loke</strong> 1 , Ray Stephany 1 , Andy Wei 2 ,<br />

Bich-Yen Nguyen 3 , Tin Tin Wee 1 ,<br />

John Faricelli 1 , Jung-Suk Goo 2 ,<br />

and Shawn Searles 1<br />

1<br />

Advanced Micro Devices<br />

2<br />

Glob<strong>al</strong>Foundries<br />

3<br />

Soitec<br />

AUTHORIZATION<br />

All copyrights <strong>to</strong> the materi<strong>al</strong> contained in this document are r<strong>et</strong>ained by us and our employers.<br />

IEEE Solid-State <strong>Circuit</strong>s Soci<strong>et</strong>y – Delhi and Bang<strong>al</strong>ore Distinguished Lecturer Colloquia


Outline<br />

• Part 1<br />

– Motivation<br />

– <strong>MOS</strong>FET & Short-Channel Fundament<strong>al</strong>s<br />

– Lithography<br />

– G<strong>et</strong>ting <strong>to</strong> 130nm<br />

– More <strong>MOS</strong>FET Fundament<strong>al</strong>s<br />

– Parti<strong>al</strong>ly-Depl<strong>et</strong>ed SOI<br />

• Part 2<br />

– Strain Engineering (90nm & Beyond)<br />

– High-K / M<strong>et</strong><strong>al</strong>-Gate (45nm & Beyond)<br />

– Migrating <strong>to</strong> Fully-Depl<strong>et</strong>ed (22nm & Beyond)<br />

– Tri-Gate FinFETs<br />

– Conclusions<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 1


C<strong>MOS</strong> Sc<strong>al</strong>ing Still Alive…<br />

Intel 22nm<br />

tri-gate finFET<br />

in production<br />

Keating, Synopsys [1]<br />

• Leading foundries frantic<strong>al</strong>ly after manufacturable tri-gate<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 2


…But Slowing Down<br />

• <strong>MOS</strong> per<strong>for</strong>mance improves with sc<strong>al</strong>ing<br />

• BUT $$$ (as <strong>al</strong>ways) is THE main reason <strong>to</strong> sc<strong>al</strong>e<br />

• Each new C<strong>MOS</strong> node shrinks dimensions by 2<br />

• Same function<strong>al</strong>ity in h<strong>al</strong>f the area<br />

• Cost-per-function<strong>al</strong>ity if area reduction exceeds<br />

increased cost-per-area <strong>for</strong> more complex manufacturing<br />

• Enables more function<strong>al</strong>ity on a single die<br />

• Fewer dies fewer packages lower cost<br />

• Moving <strong>to</strong> planar 20nm C<strong>MOS</strong> is not so obvious<br />

• Wafer cost is g<strong>et</strong>ting prohibitive, e.g., double patterning<br />

• Fully-depl<strong>et</strong>ed option (e.g., tri-gate fins) is compelling <strong>to</strong><br />

enable low-power operation, especi<strong>al</strong>ly with high demand<br />

<strong>for</strong> portable ICs<br />

• 28nm likely <strong>to</strong> be around <strong>for</strong> a while<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 3


Bleeding-Edge IC Development<br />

• Design concurrently developed with technology <strong>to</strong> shorten<br />

time-<strong>to</strong>-mark<strong>et</strong><br />

• Multiple models<br />

• Multiple iterations<br />

• Design feedback<br />

• Earlier start/finish<br />

Model Uncertainty<br />

Speculative<br />

Models<br />

Test Chip<br />

Initi<strong>al</strong><br />

Design<br />

Silicon-Influenced<br />

Models<br />

Updated<br />

Design<br />

Silicon-Based<br />

Models<br />

Fin<strong>al</strong><br />

Design<br />

Time<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Bair, AMD [2]<br />

Slide 4


Why Should We (<strong>Designers</strong>) Care?<br />

• Models bridge the technology and design worlds<br />

• The truth about our models<br />

– Speculative and inherently uncertain<br />

– Cannot assume they are accurate and <strong>to</strong> 10 sig figs<br />

– Primarily tailored <strong>to</strong> logic, not an<strong>al</strong>og<br />

– Limited <strong>to</strong> fab understanding of design usage of devices<br />

– Intrinsic<strong>al</strong>ly late <strong>to</strong> capture new effects, e.g., proximity<br />

• We can design b<strong>et</strong>ter circuits when we understand<br />

– the technology that our models are attempting <strong>to</strong> capture<br />

– the technology effects that are not in our models<br />

– What the fab prioritizes<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 5


Our Objective<br />

• Understand how <strong>MOS</strong>FET structure has evolved<br />

• Learn about enabling technologies<br />

• Understand why it has evolved this way<br />

L=35nm<br />

SiGe<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 6


Outline<br />

• Part 1<br />

– Motivation<br />

– <strong>MOS</strong>FET & Short-Channel Fundament<strong>al</strong>s<br />

– Lithography<br />

– G<strong>et</strong>ting <strong>to</strong> 130nm<br />

– More <strong>MOS</strong>FET Fundament<strong>al</strong>s<br />

– Parti<strong>al</strong>ly-Depl<strong>et</strong>ed SOI<br />

• Part 2<br />

– Strain Engineering (90nm & Beyond)<br />

– High-K / M<strong>et</strong><strong>al</strong>-Gate (45nm & Beyond)<br />

– Migrating <strong>to</strong> Fully-Depl<strong>et</strong>ed (22nm & Beyond)<br />

– Tri-Gate FinFETs<br />

– Conclusions<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 8


The Basis of All C<strong>MOS</strong> Digit<strong>al</strong> ICs<br />

inputs<br />

pull-up<br />

logic<br />

t<br />

delay<br />

<br />

Q<br />

I<br />

load<br />

eff<br />

<br />

C<br />

load<br />

I<br />

eff<br />

V<br />

DD<br />

pull-down<br />

logic<br />

P<br />

dynamic<br />

C<br />

load<br />

V<br />

2<br />

DD<br />

f<br />

• Charging and discharging a capaci<strong>to</strong>r… very quickly!<br />

• For shorter delay and lower power<br />

• C load reduce parasitics (wires, gates, junctions, …)<br />

• V DD reduce logic swing<br />

• I eff move charge quicker<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 8


Important <strong>MOS</strong>FET Current Param<strong>et</strong>ers<br />

I D<br />

(mA)<br />

1.5<br />

1.0<br />

28nm, VDD=1.0V<br />

IDhigh<br />

IDsat<br />

V GS<br />

1.0V<br />

IDeff<br />

0.7V<br />

0.5<br />

IDlow<br />

0.5V<br />

IDlin<br />

IDoff<br />

0.0<br />

0.2V<br />

0.0 0.2 0.4 0.6 0.8 1.0<br />

V (V) DS<br />

typic<strong>al</strong> an<strong>al</strong>og usage<br />

V GS =V T <strong>to</strong> V T +0.2V<br />

IDeff estimates effective<br />

inverter current drawn<br />

during switching event,<br />

more re<strong>al</strong>istic and way<br />

less optimistic than IDsat<br />

IDlow IDhigh<br />

IDeff <br />

2<br />

VDD<br />

IDlow IDVGS<br />

, V<br />

2<br />

<br />

IDhigh IDV<br />

<br />

GS<br />

V<br />

DD<br />

, V<br />

DS<br />

DS<br />

V<br />

DD<br />

V<br />

<br />

2<br />

DD<br />

Na <strong>et</strong> <strong>al</strong>., IBM [3]<br />

<br />

<br />

<br />

<br />

<br />

<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 9


Flatband Condition (V GS =V FB )<br />

V BS<br />

V DS<br />

M O S<br />

V GS<br />

silicon<br />

surface<br />

E C<br />

silicon<br />

surface<br />

poly gate<br />

E F<br />

q s<br />

q b<br />

E i<br />

E F<br />

E V<br />

p + body<br />

contact<br />

n +<br />

source<br />

n +<br />

drain<br />

q s = q b<br />

p-type<br />

body<br />

source-<strong>to</strong>body<br />

depl<strong>et</strong>ion<br />

drain-<strong>to</strong>-body<br />

depl<strong>et</strong>ion<br />

Energy<br />

Band<br />

Diagram<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 10


Ons<strong>et</strong> of Surface Inversion ( s =0)<br />

V BS<br />

V DS<br />

M O S<br />

V GS<br />

surface<br />

undoped<br />

+<br />

poly gate<br />

+ +<br />

+<br />

q b<br />

q s<br />

q s = 0<br />

p + body<br />

contact<br />

n +<br />

source<br />

– – –<br />

–<br />

n +<br />

drain<br />

p-type<br />

body<br />

+ charge terminating on – charge<br />

Energy<br />

Band<br />

Diagram<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 11


Ons<strong>et</strong> of Strong Surface Inversion<br />

(V GS =V T )<br />

V BS<br />

V DS<br />

M O S<br />

V GS<br />

inversion<br />

layer<br />

poly gate<br />

+ + + + + + + + + + +<br />

+ + + + + + + + + + + +<br />

qV T<br />

s<br />

q s<br />

q b<br />

p + body<br />

contact<br />

p-type<br />

body<br />

n +<br />

source<br />

V<br />

T<br />

– – – – – – – – – – – –<br />

– – – –<br />

– – –<br />

– – – –<br />

V<br />

FB<br />

2<br />

b<br />

<br />

Q<br />

C<br />

dep<br />

ox<br />

n +<br />

drain<br />

q s = q b<br />

Energy<br />

Band<br />

Diagram<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 12


Lower the Surface Barrier<br />

V GS = 0<br />

V DS = 0 (no current)<br />

Large source barrier<br />

(back-<strong>to</strong>-back diodes)<br />

electron<br />

current<br />

V GS V T<br />

V DS = 0 (no n<strong>et</strong> current)<br />

Source barrier lowered<br />

Surface is inverted<br />

V GS > V T<br />

V DS > 0 (n<strong>et</strong> source-<strong>to</strong>-drain current flow)<br />

Carriers easily overcome source barrier<br />

Surface is strongly inverted<br />

Sze [4]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 13


Quantifying Charge <strong>to</strong> Move s by 2 b<br />

gate<br />

<br />

body<br />

+ – – – – –<br />

+<br />

– – – – –<br />

+ +<br />

E<br />

0<br />

qNx d<br />

<br />

Si<br />

V<br />

2 b<br />

0<br />

<br />

<br />

2<br />

b<br />

<br />

–<br />

–<br />

x d<br />

x d<br />

qN<br />

Q<br />

E dA <br />

x d<br />

V<br />

<br />

qNx<br />

2<br />

E <br />

Si<br />

2<br />

d<br />

• Assume uni<strong>for</strong>mly<br />

doped p-type body<br />

• How much body must<br />

be depl<strong>et</strong>ed <strong>to</strong> reach<br />

strong inversion?<br />

2<br />

Si<br />

2<br />

b<br />

qN<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

x<br />

x<br />

Si<br />

dx<br />

x<br />

d<br />

<br />

Qdep qNx d<br />

<br />

1<br />

N<br />

Slide 14


The Roads <strong>to</strong> Higher Per<strong>for</strong>mance<br />

source<br />

channel<br />

drain<br />

Decrease L – steepen the hill<br />

source<br />

channel<br />

drain<br />

lithography<br />

sc<strong>al</strong>ing<br />

Increase µ – move carriers faster<br />

source<br />

channel<br />

drain<br />

strain engineering<br />

Must contain parasitic<br />

R & C from undoing <strong>al</strong>l<br />

the I FET gains<br />

Increase C ox – move more carriers<br />

source<br />

channel<br />

drain<br />

high-K dielectric<br />

m<strong>et</strong><strong>al</strong> gate<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 15


Short-Channel Effects (SCEs)<br />

L<br />

V T<br />

gate<br />

n +<br />

source<br />

n +<br />

drain<br />

L<br />

V T<br />

source-<strong>to</strong>-body<br />

depl<strong>et</strong>ion<br />

drain-<strong>to</strong>-body<br />

depl<strong>et</strong>ion<br />

DIBL<br />

V DD not sc<strong>al</strong>ing as aggressively as L<br />

Higher channel electric fields<br />

– Velocity saturation<br />

– Mobility degradation<br />

V DS<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 16


Overcoming Short-Channel Effects<br />

Improve gate electrostatic control of<br />

channel charge<br />

• Higher body doping but higher V T<br />

• Sh<strong>al</strong>lower source/drain but higher R s<br />

• Thinner t ox but higher gate leakage<br />

• High-K dielectric <strong>to</strong> reduce tunneling<br />

• M<strong>et</strong><strong>al</strong> gate <strong>to</strong> overcome poly depl<strong>et</strong>ion<br />

• Fully-depl<strong>et</strong>ed structures (e.g., fins)<br />

Stressors <strong>for</strong> mobility enhancement<br />

1<br />

x j<br />

<br />

doping<br />

n +<br />

source<br />

gate<br />

n +<br />

drain<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 17


Outline<br />

• Part 1<br />

– Motivation<br />

– <strong>MOS</strong>FET & Short-Channel Fundament<strong>al</strong>s<br />

– Lithography<br />

– G<strong>et</strong>ting <strong>to</strong> 130nm<br />

– More <strong>MOS</strong>FET Fundament<strong>al</strong>s<br />

– Parti<strong>al</strong>ly-Depl<strong>et</strong>ed SOI<br />

• Part 2<br />

– Strain Engineering (90nm & Beyond)<br />

– High-K / M<strong>et</strong><strong>al</strong>-Gate (45nm & Beyond)<br />

– Migrating <strong>to</strong> Fully-Depl<strong>et</strong>ed (22nm & Beyond)<br />

– Tri-Gate FinFETs<br />

– Conclusions<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 18


The Roads <strong>to</strong> Higher Per<strong>for</strong>mance<br />

source<br />

channel<br />

drain<br />

Decrease L – steepen the hill<br />

source<br />

channel<br />

drain<br />

lithography<br />

sc<strong>al</strong>ing<br />

Increase µ – move carriers faster<br />

source<br />

channel<br />

drain<br />

strain engineering<br />

Increase C ox – move more carriers<br />

source<br />

channel<br />

drain<br />

high-K dielectric<br />

m<strong>et</strong><strong>al</strong> gate<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 19


L<strong>et</strong> There Be Light<br />

Resolution = k 1 <br />

NA<br />

• Tooling has tradition<strong>al</strong>ly driven resolution sc<strong>al</strong>ing<br />

• Shorter : 436nm 365nm 248nm 193nm<br />

• Higher NA lenses capping at 1.35<br />

/ NA (nm)<br />

• Both and NA have hit<br />

a w<strong>al</strong>l<br />

• No new litho <strong>to</strong>ol <strong>for</strong><br />

22/20nm nodes<br />

(EUV not prim<strong>et</strong>ime y<strong>et</strong>)<br />

• Single patterning limited<br />

<strong>to</strong> ~80nm pitch<br />

Wei, Glob<strong>al</strong>Foundries [5]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 20


Step-and-Scan Projection Lithography<br />

• Slide both r<strong>et</strong>icle & wafer across narrow<br />

slit of light<br />

• Only need high-NA optics orthogon<strong>al</strong> <strong>to</strong><br />

scan but now high-precision constantspeed<br />

stages <strong>to</strong> move mask & wafer<br />

Slit Source<br />

Excimer Laser<br />

KrF (248nm) or ArF (193nm)<br />

• Cheaper than high-NA 2-D optics<br />

• 6” x 6” physic<strong>al</strong> r<strong>et</strong>icle size (4× reduction)<br />

• 25 x 33mm or 26 x 32mm field size<br />

• Weak intensity of deep-UV source<br />

requires sensitive chemic<strong>al</strong>ly-amplified<br />

resists <strong>for</strong> b<strong>et</strong>ter throughput<br />

• Enables dose mapping (adjust light dose<br />

during scan <strong>to</strong> compensate <strong>for</strong> loading)<br />

Nikon [6]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong> Slide 21


Immersion Lithography<br />

• Remember oil immersion microscopy in biology class?<br />

• Extend resolution of refractive optics by squirting water<br />

puddle on wafer surface prior <strong>to</strong> exposure<br />

• n water ~1.45 vs. n air ~ 1<br />

• Tedious but EUV is not prim<strong>et</strong>ime y<strong>et</strong><br />

Resolution = k 1 <br />

NA<br />

NA = n sin = d / 2 f<br />

water<br />

light<br />

lens<br />

12-inch<br />

wafer<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 22


Lithography Mis<strong>al</strong>ignment / Overlay<br />

• Mask mis<strong>al</strong>ignment <strong>to</strong>lerance is not keeping pace with gate<br />

CD sc<strong>al</strong>ing<br />

• ASML has near monopoly on lithography <strong>to</strong>ols largely<br />

because of good overlay control (glob<strong>al</strong> zero layer patterns)<br />

• Many layout enclosure & spacing rules not sc<strong>al</strong>ing with CD<br />

• Examples:<br />

• Poly overhang beyond active<br />

• Contact spacing <strong>to</strong> poly<br />

• Active enclosure around contact<br />

• M<strong>et</strong><strong>al</strong> enclosure around vias<br />

• Layout <strong>for</strong> matching must be robust against overlay errors<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 23


Resolution Enhancement <strong>Technology</strong><br />

Wei, Glob<strong>al</strong>Foundries [5]<br />

Resolution = k 1 <br />

NA<br />

Rayleigh k 1 Fac<strong>to</strong>r<br />

• Reducing k 1 is the remaining tick<strong>et</strong> <strong>to</strong> b<strong>et</strong>ter resolution<br />

• Attack problem from <strong>al</strong>l fronts: mask, source & wafer<br />

• Imposes significant restrictions on layout design rules<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 24


Mask – Optic<strong>al</strong> Proximity Correction<br />

• Sharp features are lost because diffraction attenuates higher<br />

spati<strong>al</strong> frequencies (mask behaving as low-pass optic<strong>al</strong> filter)<br />

• Compensate <strong>for</strong> diffraction effects when feature sizes


Mask – Sub-Resolution Assist Features<br />

SRAFs<br />

• Difficulty <strong>to</strong> concurrently print dense and isolated lines<br />

• SRAFs are features intention<strong>al</strong>ly placed on mask that are<br />

<strong>to</strong>o sm<strong>al</strong>l <strong>to</strong> print but provide enough diffraction <strong>to</strong> make<br />

isolated features print well<br />

• Imposes <strong>for</strong>bidden pitches on layout<br />

Sivakumar, Intel [8]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 26


Mask – Phase Shift<br />

• Create differenti<strong>al</strong> optic<strong>al</strong> path length <strong>to</strong> invert electric field<br />

of adjacent features<br />

Sivakumar, Intel [8]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 27


Source – Off-Axis Illumination<br />

-2<br />

• Offers significant boost in resolution<br />

• Imposes restrictions in orientation & pitch<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Sivakumar, Intel [8]<br />

Slide 28


Source – Aperture Shape Optimization<br />

• Keep pixels that contribute <strong>to</strong> image enhancement<br />

• Discard pixels that degrade image contrast<br />

Sivakumar, Intel [8]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 29


Double Patterning Pitch Division<br />

Litho-Etch-Litho-Etch (LELE)<br />

Litho-Freeze-Litho-Etch (LFLE)<br />

Sivakumar, Intel [8]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 30


Outline<br />

• Part 1<br />

– Motivation<br />

– <strong>MOS</strong>FET & Short-Channel Fundament<strong>al</strong>s<br />

– Lithography<br />

– G<strong>et</strong>ting <strong>to</strong> 130nm<br />

– More <strong>MOS</strong>FET Fundament<strong>al</strong>s<br />

– Parti<strong>al</strong>ly-Depl<strong>et</strong>ed SOI<br />

• Part 2<br />

– Strain Engineering (90nm & Beyond)<br />

– High-K / M<strong>et</strong><strong>al</strong>-Gate (45nm & Beyond)<br />

– Migrating <strong>to</strong> Fully-Depl<strong>et</strong>ed (22nm & Beyond)<br />

– Tri-Gate FinFETs<br />

– Conclusions<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 31


130nm <strong>MOS</strong>FET Fabrication<br />

1 STI<br />

oxide<br />

p-Si substrate<br />

Sh<strong>al</strong>low Trench Isolation<br />

4<br />

h<strong>al</strong>os<br />

Source/Drain Extension<br />

& H<strong>al</strong>o Implantation<br />

2 n-well p-well<br />

5<br />

Well Implantation<br />

gate oxide<br />

Spacer Formation &<br />

Source/Drain Implantation<br />

silicide<br />

3<br />

Gate Oxidation &<br />

Poly Definition<br />

6<br />

P<strong>MOS</strong><br />

N<strong>MOS</strong><br />

S<strong>al</strong>icidation<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 32


LOCOS Isolation – 350nm & Earlier<br />

1<br />

2<br />

3<br />

bird’s<br />

beak<br />

Deposit & pattern thin<br />

Si 3 N 4 oxidation mask<br />

Grow therm<strong>al</strong> field oxide<br />

• Industry played lots of tricks <strong>to</strong> reduce width of bird’s beak &<br />

reduce field oxide <strong>to</strong> active area <strong>to</strong>pography<br />

Depth of Focus Resolution / NA<br />

Strip Si 3 N 4 mask<br />

• Barriers <strong>to</strong> sc<strong>al</strong>ing: bird’s beak, <strong>to</strong>pography <strong>for</strong> gate patterning<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 33


Sh<strong>al</strong>low Trench Isolation – After 350nm<br />

1<br />

4<br />

Deposit & pattern thin Si 3 N 4<br />

<strong>et</strong>ch mask & polish s<strong>to</strong>p<br />

CMP excess SiO 2<br />

2<br />

5<br />

Etch silicon around active area –<br />

profile critic<strong>al</strong> <strong>to</strong> minimize stress<br />

Recess SiO 2<br />

Strip Si 3 N 4 polish s<strong>to</strong>p<br />

3<br />

Grow liner SiO 2 , then deposit<br />

con<strong>for</strong>m<strong>al</strong> SiO 2 – void-free<br />

deposition is critic<strong>al</strong><br />

Advantages over LOCOS<br />

• Reduced active-<strong>to</strong>-active<br />

spacing (no bird’s beak)<br />

• Planar surface <strong>for</strong> gate<br />

lithography<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 34


Chemo-Mechanic<strong>al</strong> Polishing (CMP)<br />

wafer<br />

(facing down)<br />

wafer<br />

carrier<br />

optic<strong>al</strong><br />

endpoint<br />

d<strong>et</strong>ection<br />

slurry<br />

polishing<br />

pad<br />

in situ pad<br />

conditioner<br />

(critic<strong>al</strong>)<br />

polishing<br />

table<br />

oxide<br />

CMP<br />

• B<strong>al</strong>ance b<strong>et</strong>ween materi<strong>al</strong><br />

remov<strong>al</strong> from chemic<strong>al</strong><br />

reaction vs. mechanic<strong>al</strong><br />

abrasion<br />

• Scratches vs. poor planarity<br />

• Polishing pad will flex <strong>to</strong><br />

track wafer undulations<br />

• Pioneered by IBM –<br />

leveraged expertise from<br />

lens polishing<br />

dishing<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 35


Topography Buildup is Costly Later<br />

After STI<br />

Oxide CMP<br />

STI<br />

After ILD0<br />

Oxide CMP<br />

(contact dielectric)<br />

poly<br />

m<strong>et</strong><strong>al</strong> shorts!!!<br />

After M<strong>et</strong><strong>al</strong>-1<br />

Copper CMP<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 36


Consistent Pattern Density <strong>for</strong> CMP<br />

• Ide<strong>al</strong> world <strong>for</strong> CMP: perfectly periodic pattern throughout wafer<br />

• Dummification is key <strong>to</strong> minimize <strong>to</strong>pography in any CMP process<br />

• Add dummy patterns <strong>to</strong> open areas <strong>to</strong> minimize density variation<br />

• Also critic<strong>al</strong> <strong>to</strong> step dummy dies <strong>al</strong>ong wafer circumference<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 37


Well Implant Engineering<br />

R<strong>et</strong>rograded well dopant profile<br />

(implants be<strong>for</strong>e poly deposition)<br />

Sh<strong>al</strong>low/steep surface channel implant<br />

• V T control<br />

• Slow diffusers critic<strong>al</strong> (In, Sb)<br />

STI<br />

oxide<br />

p-well<br />

STI<br />

oxide<br />

Substrate<br />

Doping<br />

Deeper subsurface implant<br />

• Extra dopants <strong>to</strong> prevent subsurface<br />

punchthrough under h<strong>al</strong>os<br />

• Prevent parasitic channel inversion on<br />

STI sidew<strong>al</strong>l beneath source/drain<br />

• Faster diffusers (B, As/P)<br />

Depth<br />

substrate<br />

background<br />

Very deep high-dose implant<br />

• Latchup prevention<br />

• Noise immunity<br />

• Faster diffusers (B, As/P)<br />

Sequence implant <strong>to</strong> reduce ion channeling, especi<strong>al</strong>ly <strong>for</strong> sh<strong>al</strong>low implant<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 38


Gate Oxide Growth<br />

• Need two gate oxide t ox ’s – thin <strong>for</strong> core FET & thick <strong>for</strong> I/O FET<br />

1 2 3<br />

Grow 1 st oxide gate<br />

oxide<br />

Strip oxide <strong>for</strong> core FET Grow 2 nd oxide<br />

Si substrate<br />

I/O FET<br />

gate oxide<br />

core FET<br />

gate oxide<br />

• Oxide is grown, not deposited<br />

• Need high-qu<strong>al</strong>ity Si-SiO 2 interface with low Q f & D it<br />

• Gate oxide is re<strong>al</strong>ly made of silicon oxynitride (SiO x N y )<br />

• Nitrogen prevents boron diffusion from p+ poly <strong>to</strong> channel<br />

• Improves GOI (gate oxide integrity) reliability<br />

• Side benefit – increased ox<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 39


Poly Gate Definition<br />

• Gate CD way sm<strong>al</strong>ler than lithography capability<br />

resist<br />

anti-reflection<br />

layer (ARL)<br />

poly-Si<br />

gate<br />

oxide<br />

poly<br />

gate<br />

Si substrate<br />

1 Pattern resist<br />

2 Trim resist<br />

3<br />

(oxygen ash)<br />

Etch gate stack<br />

• Process control is everything – resist & poly <strong>et</strong>ch chamber<br />

conditioning is critic<strong>al</strong> (don’t clean residues in tea cups or woks)<br />

• Trim more <strong>for</strong> sm<strong>al</strong>ler CD (requires tighter control)<br />

• Less trimming if narrower lines can be printed immersion litho<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 40


Multi-Chamber Cluster Tools<br />

• Gate oxide no longer furnace grown<br />

• Pre-oxidation clean, gate oxidation<br />

& poly/ARL deposition per<strong>for</strong>med in<br />

separate chambers without breaking<br />

vacuum<br />

• B<strong>et</strong>ter thickness & film composition<br />

control (native SiO 2 grows instantly<br />

when exposed <strong>to</strong> air)<br />

• Fast – minutes-seconds per wafer<br />

vs. hours per wafer batch<br />

• Economic<strong>al</strong>ly feasible with trend<br />

<strong>to</strong>wards larger wafer sizes<br />

Top<br />

View<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 41


1<br />

Channel & Source/Drain Engineering<br />

self-<strong>al</strong>igned source/drain<br />

extension implant (n-type)<br />

poly<br />

gate<br />

3<br />

dielectric spacer<br />

<strong>for</strong>mation<br />

poly<br />

gate<br />

p-well<br />

p-well<br />

self-<strong>al</strong>igned high-tilt<br />

h<strong>al</strong>o/pock<strong>et</strong> implant (p-type)<br />

self-<strong>al</strong>igned source/drain<br />

implant (n-type)<br />

2<br />

poly<br />

gate<br />

4<br />

poly<br />

gate<br />

p-well<br />

h<strong>al</strong>os<br />

p-well<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 42


Benefits of H<strong>al</strong>o and Extension<br />

Resulting structure<br />

• Less short-channel effect<br />

• Sh<strong>al</strong>low junction where<br />

needed most<br />

• Low junction capacitance<br />

poly<br />

gate<br />

h<strong>al</strong>os<br />

h<strong>al</strong>os<br />

Not <strong>to</strong> be confused with LDD in I/O FET<br />

• Same process with spacers but Iightly doped drain (LDD) is<br />

used <strong>for</strong> minimizing peak electric fields that cause hot<br />

carriers & breakdown<br />

• Extensions need <strong>to</strong> be heavily doped <strong>to</strong> minimize series<br />

resistance<br />

Different h<strong>al</strong>o & extension/LDD implants <strong>for</strong> each FET variant<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 43


Feed-Forward Manufacturing Control<br />

• Adjust resist trim ash time <strong>to</strong> compensate <strong>for</strong> poly pho<strong>to</strong> variations<br />

poly<br />

shorter<br />

ash time<br />

longer<br />

ash time<br />

• Adjust h<strong>al</strong>o dose <strong>to</strong> compensate <strong>for</strong> poly <strong>et</strong>ch variations<br />

(modulate position of pn junction where counter-doping occurs)<br />

higher<br />

h<strong>al</strong>o dose<br />

well<br />

lower<br />

h<strong>al</strong>o dose<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 44


Rapid Therm<strong>al</strong> Processing (RTP)<br />

Temperature<br />

RTP<br />

Temperature<br />

Furnace<br />

substanti<strong>al</strong><br />

ramp times<br />

Time<br />

Time<br />

• Initi<strong>al</strong>ly developed <strong>for</strong> short anne<strong>al</strong>s<br />

• Impossible <strong>to</strong> control short therm<strong>al</strong> cycles in furnaces<br />

• Want minimum diffusion <strong>for</strong> sh<strong>al</strong>low & abrupt junctions<br />

• Process steps:<br />

• Anne<strong>al</strong>ing repair implant damage, activate dopants<br />

• Oxidation gate oxide<br />

• Nitridation spacers, ARL<br />

• Poly deposition gate<br />

• RTP in single-wafer multi-chamber cluster <strong>to</strong>ols<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 45


Non-CMP Density Effects – RTA<br />

Be<strong>for</strong>e<br />

Optimization<br />

After<br />

Optimization<br />

Poly Layout Extraction<br />

• Poly density impacts surface<br />

heat absorption & anne<strong>al</strong><br />

temperature uni<strong>for</strong>mity<br />

• Non-uni<strong>for</strong>m anne<strong>al</strong> results<br />

in non-uni<strong>for</strong>m dopant<br />

activation and device<br />

variation<br />

Temperature Simulation<br />

Auth, Intel [9]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 46


Self-Aligned Silicidation (S<strong>al</strong>icidation)<br />

• Need <strong>to</strong> reduce poly & diffusion R s , or g<strong>et</strong> severe I FET degradation<br />

poly<br />

1<br />

diffusion<br />

STI<br />

well<br />

Deposit sicilide m<strong>et</strong><strong>al</strong> (Ti, Co, Ni)<br />

3<br />

Strip unreacted m<strong>et</strong><strong>al</strong><br />

2<br />

4<br />

RTA1 (low temperature)<br />

Selective <strong>for</strong>mation of m<strong>et</strong><strong>al</strong><br />

silicide from m<strong>et</strong><strong>al</strong> reaction with Si<br />

RTA2 (high temperature)<br />

Trans<strong>for</strong>ms silicide in<strong>to</strong> low-<br />

phase by consuming more Si<br />

•TiSi x CoSi x Ni/PtSi x<br />

• Sc<strong>al</strong>ing requires sm<strong>al</strong>ler grain size <strong>to</strong> minimize R s variation<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 47


Outline<br />

• Part 1<br />

– Motivation<br />

– <strong>MOS</strong>FET & Short-Channel Fundament<strong>al</strong>s<br />

– Lithography<br />

– G<strong>et</strong>ting <strong>to</strong> 130nm<br />

– More <strong>MOS</strong>FET Fundament<strong>al</strong>s<br />

– Parti<strong>al</strong>ly-Depl<strong>et</strong>ed SOI<br />

• Part 2<br />

– Strain Engineering (90nm & Beyond)<br />

– High-K / M<strong>et</strong><strong>al</strong>-Gate (45nm & Beyond)<br />

– Migrating <strong>to</strong> Fully-Depl<strong>et</strong>ed (22nm & Beyond)<br />

– Tri-Gate FinFETs<br />

– Conclusions<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 48


Constant-Current V T Measurement<br />

• Ons<strong>et</strong> of strong inversion near<br />

impossible <strong>to</strong> measure<br />

log I DS<br />

high V DS low VDS<br />

• Sweep log I DS vs. V GS<br />

• Find V GS when I DS crosses<br />

user-specified threshold I 0<br />

norm<strong>al</strong>ized <strong>to</strong> W/L<br />

I 0 ×W/L<br />

DIBL<br />

• Foundry-specific I 0 ~ 10 <strong>to</strong> 500 nA<br />

• No physic<strong>al</strong> connection <strong>to</strong><br />

“fundament<strong>al</strong>” V T definition<br />

V Tsat V Tlin<br />

V T<br />

V GS<br />

I<br />

DS<br />

I<br />

0<br />

W<br />

<br />

L<br />

drawn<br />

drawn<br />

V GS<br />

<strong>Loke</strong> <strong>et</strong> <strong>al</strong>., AMD [10]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 49


Not So Fundament<strong>al</strong> After All<br />

M O S<br />

qV T<br />

f s<br />

qf s<br />

inversion<br />

layer<br />

q b<br />

q s = q b<br />

Energy<br />

Band<br />

Diagram<br />

V<br />

T<br />

V<br />

FB<br />

2<br />

• Body doping has increased by 2–3<br />

orders of magnitude over the decades<br />

• Surface way more conductive at strong<br />

inversion condition using “fundament<strong>al</strong>”<br />

V T definition<br />

• What matters is how much OFF leakage<br />

you g<strong>et</strong> <strong>for</strong> a given ON current<br />

• IDoff vs. IDsat (or IDeff) univers<strong>al</strong> plots<br />

have become more useful <strong>to</strong> summarize<br />

device per<strong>for</strong>mance<br />

b<br />

<br />

Q<br />

C<br />

dep<br />

ox<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 50


log (I DS )<br />

I OFF1<br />

I OFF2<br />

V T1 V T2<br />

I OFF –I ON Univers<strong>al</strong> Plots<br />

I ON2<br />

V DD<br />

I ON1<br />

V GS<br />

OFF Leakage Current (nA/m)<br />

Comparison of 90nm <strong>Technology</strong> Foundry Vendors<br />

1000.0<br />

100.0<br />

10.0<br />

1.0<br />

0.1<br />

1.0V<br />

1.2V<br />

P<strong>MOS</strong><br />

1.0V<br />

1.2V<br />

N<strong>MOS</strong><br />

0 200 400 600 800 1000 1200<br />

ON Drive Current (A/m)<br />

• High I ON high I OFF & low I ON low I OFF<br />

• OFF leakage prevents V T from sc<strong>al</strong>ing with gate length<br />

• Sever<strong>al</strong> V T ’s enable trade-off b<strong>et</strong>ween high speed vs. low leakage<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 51


Subthreshold Leakage<br />

• <strong>MOS</strong>FET is not perfectly OFF below V T<br />

• V G s lower source-<strong>to</strong>-channel barrier<br />

• Gradu<strong>al</strong>ly more carriers diffuse from source <strong>to</strong> drain<br />

• Capacitive divider b<strong>et</strong>ween gate and undepl<strong>et</strong>ed body<br />

source<br />

<br />

s<br />

V<br />

G<br />

V G<br />

V B<br />

<br />

C<br />

ox<br />

Cox<br />

C<br />

Si<br />

V G<br />

drain<br />

s<br />

gate<br />

C ox<br />

source<br />

drain<br />

body<br />

C Si<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 52


Subthreshold Slope<br />

• V G needed <strong>for</strong> 10 change in current<br />

V G<br />

S<br />

k T<br />

q<br />

<br />

B<br />

ln 10<br />

<br />

<br />

C<br />

ox<br />

C<br />

C<br />

ox<br />

Si<br />

s<br />

C ox<br />

S<br />

<br />

mV / dec<br />

<br />

C<br />

C<br />

C<br />

ox Si<br />

60 at 25°C<br />

ox<br />

V B<br />

C Si<br />

• Planar 28nm: S = 100–110mV/dec at 25°C<br />

• Want tight coupling of V G <strong>to</strong> s but have <strong>to</strong> overcome C Si<br />

• Large C ox thinner gate oxide, HKMG<br />

• Sm<strong>al</strong>l C Si lower body doping, FD-SOI, finFET<br />

• G<strong>et</strong> diode limit when C ox & C Si 0 (η = 1)<br />

• Reducing S enables lower V T , V DD & power <strong>for</strong> same I OFF<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 53


Drain-Induced Barrier Lowering (DIBL)<br />

• OFF leakage g<strong>et</strong>s worse at higher V D<br />

• E field from drain charge terminating in body, reducing gate<br />

charge required <strong>to</strong> reach V T<br />

• Characterized as V T reduction <strong>for</strong> some V D<br />

• Planar 28nm: 150–160mV <strong>for</strong> V D =1V<br />

• Reducing DIBL <strong>al</strong>so enables lower V DD & power <strong>for</strong> same I OFF<br />

source<br />

gate<br />

+ + + + + + + +<br />

– –<br />

–<br />

– –<br />

–<br />

– –<br />

–<br />

– –<br />

– –<br />

–<br />

drain<br />

+ + +<br />

E field<br />

source<br />

reduction of barrier height<br />

at edge of source<br />

drain<br />

V DD<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 54


3-Way Comp<strong>et</strong>ition <strong>for</strong> Body Charge<br />

What’s happening <strong>to</strong> surface<br />

potenti<strong>al</strong>?<br />

V B<br />

p-well<br />

source<br />

V G<br />

gate<br />

V D<br />

drain<br />

source<br />

V G<br />

source<br />

|V B |<br />

gate control<br />

(what we want)<br />

drain<br />

body<br />

effect<br />

DIBL<br />

drain<br />

drain<br />

V D<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 55


Outline<br />

• Part 1<br />

– Motivation<br />

– <strong>MOS</strong>FET & Short-Channel Fundament<strong>al</strong>s<br />

– Lithography<br />

– G<strong>et</strong>ting <strong>to</strong> 130nm<br />

– More <strong>MOS</strong>FET Fundament<strong>al</strong>s<br />

– Parti<strong>al</strong>ly-Depl<strong>et</strong>ed SOI<br />

• Part 2<br />

– Strain Engineering (90nm & Beyond)<br />

– High-K / M<strong>et</strong><strong>al</strong>-Gate (45nm & Beyond)<br />

– Migrating <strong>to</strong> Fully-Depl<strong>et</strong>ed (22nm & Beyond)<br />

– Tri-Gate FinFETs<br />

– Conclusions<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 56


Parti<strong>al</strong>ly-Depl<strong>et</strong>ed Silicon-On-Insula<strong>to</strong>r<br />

STI<br />

STI<br />

buried oxide<br />

substrate<br />

•Pros<br />

• Low junction area capacitance<br />

•No V T increase <strong>for</strong> stacked FETs<br />

•4 lower SRAM soft-error rate<br />

• Body isolation from substrate noise<br />

• Simpler isolation process, reduced well proximity effect<br />

• Cons<br />

• Body hysteresis effect – floating body g<strong>et</strong>s kicked around<br />

• Requires conservative margining <strong>for</strong> digit<strong>al</strong> timing<br />

• Major pain <strong>for</strong> an<strong>al</strong>og/mixed-sign<strong>al</strong> design<br />

• Substrate heating – buried oxide is good insula<strong>to</strong>r<br />

• More expensive substrate, and from a single supplier<br />

STI<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 57


Making SOI Starting Materi<strong>al</strong><br />

• Ch<strong>al</strong>lenge: making defect-free single-cryst<strong>al</strong>line SOI layer on buried oxide<br />

1<br />

Si substrate<br />

Oxidize Si wafer<br />

reuse as<br />

substrate<br />

2<br />

Si substrate<br />

H +<br />

4<br />

Si substrate<br />

Implant hydrogen<br />

(pro<strong>to</strong>ns)<br />

Smart Cut<br />

3<br />

Si substrate<br />

H +<br />

5<br />

SOI layer<br />

buried oxide<br />

Si substrate<br />

Flip & bond <strong>to</strong> another<br />

oxidized wafer<br />

Si substrate<br />

Anne<strong>al</strong> & polish<br />

Soitec [11]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong> Slide 58


The Dreaded Hysteresis Effect<br />

• Floating body is coupled <strong>to</strong> source, gate, drain & body<br />

• Body voltage has memory or his<strong>to</strong>ry of other termin<strong>al</strong>s,<br />

an<strong>al</strong>ogous <strong>to</strong> intersymbol interference in wireline I/O<br />

• Floating body voltage noise V T noise I D noise<br />

V G<br />

poly<br />

gate<br />

V D<br />

n+<br />

source<br />

n+<br />

drain<br />

p-well<br />

buried<br />

oxide<br />

substrate<br />

V SUB<br />

undepl<strong>et</strong>ed<br />

floating body<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 59


All About Impedance Division<br />

V S<br />

V G<br />

V D<br />

n+<br />

source<br />

Z S<br />

Z G<br />

V B<br />

Z SUB<br />

Z D<br />

p-well<br />

n+<br />

drain<br />

buried<br />

oxide<br />

substrate<br />

V SUB<br />

V<br />

B<br />

V<br />

D<br />

Z<br />

D<br />

ZG<br />

|| ZS<br />

|| Z<br />

Z || Z || Z<br />

V<br />

S<br />

G<br />

Z<br />

S<br />

S<br />

D<br />

SUB<br />

SUB<br />

ZD<br />

|| ZG<br />

|| Z<br />

Z || Z || Z<br />

G<br />

V<br />

SUB<br />

G<br />

SUB<br />

Z<br />

G<br />

ZD<br />

|| ZS<br />

|| Z<br />

Z || Z || Z<br />

V<br />

D<br />

SUB<br />

Z<br />

S<br />

SUB<br />

SUB<br />

SUB<br />

ZD<br />

|| ZG<br />

|| ZS<br />

Z || Z || Z<br />

D<br />

G<br />

S<br />

• Z SUB


Body-Tied PD-SOI <strong>MOS</strong>FET (T-Gate)<br />

• Enables body connection <strong>to</strong> undepl<strong>et</strong>ed FET well<br />

• High R body and extra C gate limits bandwidth of body connection<br />

• N<strong>MOS</strong> example<br />

p + diffusion<br />

p + body-tie<br />

Poly gate<br />

SOI active<br />

island<br />

p +<br />

n + n +<br />

n +<br />

source<br />

n +<br />

drain<br />

p-well<br />

Later<strong>al</strong> connection <strong>to</strong><br />

undepl<strong>et</strong>ed p-well<br />

n + diffusion<br />

body node<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 61


Outline<br />

• Part 1<br />

– Motivation<br />

– <strong>MOS</strong>FET & Short-Channel Fundament<strong>al</strong>s<br />

– Lithography<br />

– G<strong>et</strong>ting <strong>to</strong> 130nm<br />

– More <strong>MOS</strong>FET Fundament<strong>al</strong>s<br />

– Parti<strong>al</strong>ly-Depl<strong>et</strong>ed SOI<br />

• Part 2<br />

– Strain Engineering (90nm & Beyond)<br />

– High-K / M<strong>et</strong><strong>al</strong>-Gate (45nm & Beyond)<br />

– Migrating <strong>to</strong> Fully-Depl<strong>et</strong>ed (22nm & Beyond)<br />

– Tri-Gate FinFETs<br />

– Conclusions<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 62


The Roads <strong>to</strong> Higher Per<strong>for</strong>mance<br />

source<br />

channel<br />

drain<br />

Decrease L – steepen the hill<br />

source<br />

channel<br />

drain<br />

lithography<br />

sc<strong>al</strong>ing<br />

Increase µ – move carriers faster<br />

source<br />

channel<br />

drain<br />

strain engineering<br />

Increase C ox – move more carriers<br />

source<br />

channel<br />

drain<br />

high-K dielectric<br />

m<strong>et</strong><strong>al</strong> gate<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 63


Mechanic<strong>al</strong> Stresses & Strains<br />

Stress<br />

<br />

<br />

Tension<br />

(positive stress)<br />

<br />

Force<br />

Area<br />

vs.<br />

Strain<br />

<br />

<br />

<br />

<br />

0<br />

Compression<br />

(negative stress)<br />

a<strong>to</strong>mic spacing > equilibrium spacing<br />

a<strong>to</strong>mic spacing < equilibrium spacing<br />

• Str<strong>et</strong>ching / compressing FET channel a<strong>to</strong>ms by as little as 1%<br />

can improve electron / hole mobilities by sever<strong>al</strong> times<br />

• Strain perturbs cryst<strong>al</strong> structure (energy bands, density of states,<br />

<strong>et</strong>c.) changes effective mass of electrons & holes<br />

• Increase I ON <strong>for</strong> the same I OFF without increasing C OX<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 64


Longitudin<strong>al</strong> Uni-Axi<strong>al</strong> Strain<br />

tension (str<strong>et</strong>ch a<strong>to</strong>ms apart) faster N<strong>MOS</strong><br />

compression (squeeze a<strong>to</strong>ms <strong>to</strong>g<strong>et</strong>her) faster P<strong>MOS</strong><br />

• Most practic<strong>al</strong> means of incorporating strain <strong>for</strong> mobility boost<br />

• Want 1-3GPa (high-strength steel breaks at 0.8GPa)<br />

• How? Deposit strained materi<strong>al</strong>s around channel<br />

• Materi<strong>al</strong> in tension wants <strong>to</strong> relax by pulling in<br />

• Materi<strong>al</strong> in compression wants <strong>to</strong> relax by pushing out<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 65


Transferring Strain from Materi<strong>al</strong> A <strong>to</strong> B<br />

A<br />

B<br />

A<br />

A<br />

B<br />

A<br />

more A<br />

A<br />

B<br />

A<br />

limited<br />

sc<strong>al</strong>ability<br />

less B<br />

need short<br />

channel<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 66


Ways <strong>to</strong> Incorporate Uni-Axi<strong>al</strong> Strain<br />

• N<strong>MOS</strong> wants tension, P<strong>MOS</strong> wants compression<br />

• Un-Intention<strong>al</strong> (comes <strong>for</strong> free)<br />

• Sh<strong>al</strong>low Trench Isolation – N<strong>MOS</strong> / P<strong>MOS</strong> <br />

• Intention<strong>al</strong> (requires extra processing)<br />

• Stress Memorization Technique – N<strong>MOS</strong> <br />

• Embedded-SiGe Source/Drain – P<strong>MOS</strong> <br />

• Embedded-SiC Source/Drain – N<strong>MOS</strong> <br />

• Du<strong>al</strong>-Stress Liners – N<strong>MOS</strong> & P<strong>MOS</strong> <br />

• Strain m<strong>et</strong>hods are additive<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 67


Sh<strong>al</strong>low Trench Isolation (STI)<br />

N<strong>MOS</strong> & P<strong>MOS</strong> <br />

• STI oxide under compression<br />

• High-Density Plasma CVD SiO 2 process (<strong>al</strong>ternating deposition/<strong>et</strong>ch)<br />

deposits intrinsic<strong>al</strong>ly compressive oxide <strong>for</strong> good trench fill<br />

• 10 CTE mismatch b<strong>et</strong>ween Si & SiO 2 increases compression when<br />

cooled from deposition temperature<br />

• Migrated <strong>to</strong> High Aspect Ratio Process (HARP) fill in recent nodes<br />

less compressive oxide<br />

Plummer <strong>et</strong> <strong>al</strong>., Stan<strong>for</strong>d [7] Bianchi <strong>et</strong> <strong>al</strong>., AMD [12]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong> Slide 68


Stress Memorization Technique (SMT)<br />

N<strong>MOS</strong> <br />

1<br />

Amorphize poly & diffusion<br />

with silicon implant<br />

2<br />

3<br />

tensile<br />

Deposit tensile nitride<br />

Anne<strong>al</strong> <strong>to</strong> make nitride more<br />

tensile and transfer nitride<br />

tension <strong>to</strong> cryst<strong>al</strong>lizing<br />

amorphous channel<br />

I off (A/µm)<br />

10 -5<br />

10 -6<br />

control<br />

10 -7<br />

10 -8<br />

10 -9<br />

disposable<br />

tensile nitride<br />

stressor<br />

600 800 1000 1200 1400<br />

I on (µA/µm)<br />

4<br />

Remove nitride stressor<br />

(tension now frozen in diffusion)<br />

Chan <strong>et</strong> <strong>al</strong>., IBM [13]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 69


Periodic Table Trends<br />

lattice spacing <br />

bandgap <br />

• Compound semiconduc<strong>to</strong>r like Si x Ge 1-x has lattice spacing &<br />

bandgap b<strong>et</strong>ween Si & Ge<br />

• Same idea with Si x C 1-x<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 70


Embedded-SiGe Source/Drain (e-SiGe)<br />

P<strong>MOS</strong> <br />

• SiGe constrained <strong>to</strong> Si lattice will be in<br />

compression<br />

• Compressive SiGe source/drain<br />

transfers compression <strong>to</strong> Si channel<br />

L=35nm<br />

SiGe<br />

1<br />

P<br />

Etch source/drain recess<br />

Chan <strong>et</strong> <strong>al</strong>., IBM [13]<br />

10 -7 600<br />

2<br />

SiGe<br />

P<br />

SiGe<br />

Grow SiGe epitaxi<strong>al</strong>ly in<br />

recessed regions<br />

I off<br />

(A/µm)<br />

10 -8<br />

• e-SiC is similar but introduces tension instead<br />

• Epitaxi<strong>al</strong> SiC much <strong>to</strong>ugher <strong>to</strong> do than SiGe<br />

10 -9<br />

200 300 400 500 700<br />

I on (µA/µm)<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 71


Du<strong>al</strong>-Stress Liners<br />

N<strong>MOS</strong> & P<strong>MOS</strong> <br />

• Deposit tensile/compressive PECVD SiN (PEN) liners on N/P<strong>MOS</strong><br />

• Liner stress is di<strong>al</strong>ed in by liner deposition conditions (gas flow,<br />

pressure, temperature, <strong>et</strong>c.)<br />

TPEN <strong>for</strong> N<strong>MOS</strong><br />

CPEN <strong>for</strong> P<strong>MOS</strong><br />

tensile<br />

compressive<br />

tensile<br />

compressive<br />

Chan <strong>et</strong> <strong>al</strong>., IBM [13]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong> Slide 72


Strain Relaxation<br />

When materi<strong>al</strong>s of different strain come <strong>to</strong>g<strong>et</strong>her…<br />

Materi<strong>al</strong> A Tensile<br />

Materi<strong>al</strong> B Compressive<br />

interface<br />

• Both materi<strong>al</strong>s will relax at the interface<br />

• Extent of relaxation is gradu<strong>al</strong>, depends on distance from interface<br />

• No relaxation far away from interface<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 73


Strain Depends on Channel Location<br />

• SA, L & SB specify where channel<br />

is located <strong>al</strong>ong active area<br />

SA L SB<br />

• Critic<strong>al</strong> <strong>for</strong> modeling device<br />

mobility change due <strong>to</strong> STI,<br />

SMT & e-SiGe/e-SiC<br />

• Strain at source & drain ends<br />

of channel may be different<br />

• Important consideration <strong>for</strong><br />

matching, e.g., current mirrors<br />

STI<br />

effect<br />

only<br />

Xi <strong>et</strong> <strong>al</strong>., UC Berkeley [14]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 74


Longitudin<strong>al</strong> DSL Proximity<br />

• Opposite device type nearby in longitudin<strong>al</strong> direction reduces<br />

impact of stress liner mutu<strong>al</strong>ly slow each other down<br />

• Opposite PEN liner absorbs/relieves stress introduced by PEN<br />

P<strong>MOS</strong> Longitudin<strong>al</strong> Proximity<br />

CPEN<br />

TPEN<br />

hn_str_wnwp_infp-11-15<br />

P<strong>MOS</strong><br />

N<strong>MOS</strong><br />

1.05<br />

1<br />

0.95<br />

CPEN<br />

TPEN<br />

Ieff Ratio<br />

0.9<br />

0.85<br />

0.8<br />

Data<br />

Model<br />

P<strong>MOS</strong><br />

N<strong>MOS</strong><br />

0.75<br />

0.7<br />

0 0.2 0.4 0.6 0.8 1 1.2<br />

WNWP Long. Distance (um )<br />

Faricelli, AMD [15]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 75


Transverse DSL Proximity<br />

• Both N<strong>MOS</strong> & P<strong>MOS</strong> like tension in transverse direction, unlike<br />

longitudin<strong>al</strong> direction<br />

• N<strong>MOS</strong> near P<strong>MOS</strong> in width direction helps P<strong>MOS</strong>, hurts N<strong>MOS</strong><br />

desired<br />

N<strong>MOS</strong><br />

strain<br />

CPEN<br />

CPEN<br />

P<strong>MOS</strong><br />

P<strong>MOS</strong> Transverse Proximity<br />

1.06<br />

1.04<br />

desired<br />

P<strong>MOS</strong><br />

strain<br />

P<strong>MOS</strong><br />

N<strong>MOS</strong><br />

TPEN<br />

N<strong>MOS</strong><br />

Norm <strong>al</strong>ized Ideff<br />

1.02<br />

1<br />

0.98<br />

0.96<br />

0.94<br />

0.92<br />

0.9<br />

0.88<br />

0 0.2 0.4 0.6 0.8 1 1.2<br />

Transverse Distance<br />

Data W=0.4<br />

Model W=0.4<br />

Data W=1.25<br />

Model W=1.25<br />

TPEN<br />

Faricelli, AMD [15]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 76


A<br />

Pop Quiz<br />

Which FET has more channel strain?<br />

P<br />

1<br />

SiGe<br />

SiGe vs.<br />

B<br />

SiGe<br />

P<br />

SiGe<br />

B. Extending SiGe source/drain transfers more compression <strong>to</strong> channel<br />

A<br />

TPEN<br />

2 vs.<br />

N<br />

TPEN<br />

CPEN CPEN CPEN<br />

CPEN<br />

B<br />

N<br />

A. Shorter channel feels more surrounding stresses – short L vs. long L<br />

A<br />

B<br />

TPEN<br />

N<br />

vs.<br />

TPEN<br />

3<br />

CPEN CPEN CPEN<br />

CPEN<br />

N<br />

B. Extending PEN liner transfers more stress <strong>to</strong> channel<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 77


Well Implant Proximity Effect<br />

• V T magnitude if FET is <strong>to</strong>o close <strong>to</strong> well implant resist edge<br />

• Dopant ions scatter off resist sidew<strong>al</strong>l in<strong>to</strong> active during implant<br />

• Not issue in SOI since well implant resist masks are short<br />

• Symm<strong>et</strong>ric spacing <strong>to</strong> well now critic<strong>al</strong> <strong>for</strong> FET matching<br />

high-energy<br />

well implant<br />

V T, (V)<br />

90nm<br />

Core N<strong>MOS</strong><br />

active<br />

t<strong>al</strong>l<br />

resist<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Average Distance B<strong>et</strong>ween <strong>MOS</strong><br />

Channel & Well Mask Edge<br />

Hook <strong>et</strong> <strong>al</strong>., IBM [16]<br />

Sheu <strong>et</strong> <strong>al</strong>., TSMC [17]<br />

Slide 78


Outline<br />

• Part 1<br />

– Motivation<br />

– <strong>MOS</strong>FET & Short-Channel Fundament<strong>al</strong>s<br />

– Lithography<br />

– G<strong>et</strong>ting <strong>to</strong> 130nm<br />

– More <strong>MOS</strong>FET Fundament<strong>al</strong>s<br />

– Parti<strong>al</strong>ly-Depl<strong>et</strong>ed SOI<br />

• Part 2<br />

– Strain Engineering (90nm & Beyond)<br />

– High-K / M<strong>et</strong><strong>al</strong>-Gate (45nm & Beyond)<br />

– Migrating <strong>to</strong> Fully-Depl<strong>et</strong>ed (22nm & Beyond)<br />

– Tri-Gate FinFETs<br />

– Conclusions<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 79


The Roads <strong>to</strong> Higher Per<strong>for</strong>mance<br />

source<br />

channel<br />

drain<br />

Decrease L – steepen the hill<br />

source<br />

channel<br />

drain<br />

lithography<br />

sc<strong>al</strong>ing<br />

Increase µ – move carriers faster<br />

source<br />

channel<br />

drain<br />

strain engineering<br />

Increase C ox – move more carriers<br />

source<br />

channel<br />

drain<br />

high-K dielectric<br />

m<strong>et</strong><strong>al</strong> gate<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 80


Direct Tunneling Gate Leakage<br />

• t ox had <strong>to</strong> sc<strong>al</strong>e with channel<br />

length <strong>to</strong> maintain gate control<br />

• Less SCE<br />

• B<strong>et</strong>ter FET per<strong>for</strong>mance<br />

• Significant direct tunneling <strong>for</strong> t ox<br />

< 2nm<br />

• High-K gate dielectric achieves<br />

same C ox with much thicker t ox<br />

Gate Leakage (A/cm 2 )<br />

10 4 High Per<strong>for</strong>mance<br />

Low Power<br />

SiO 2 Trendline<br />

10 3<br />

10 2<br />

10 1<br />

10 0<br />

10 -1<br />

10 -2<br />

10 -3<br />

10 -4<br />

10 -5<br />

McPherson, Texas Instruments [18]<br />

Nitrided oxide<br />

0 5 10 15 20 25 30<br />

EOT (Å)<br />

EOT = Equiv<strong>al</strong>ent Oxide Thickness<br />

C<br />

ox<br />

<br />

<br />

t<br />

gate<br />

gate<br />

<br />

<br />

ox<br />

EOT<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 81


Poly Depl<strong>et</strong>ion & Charge Centroid<br />

Dielectric Only H<strong>al</strong>f the S<strong>to</strong>ry<br />

poly depl<strong>et</strong>ion<br />

(band bending)<br />

surface charge centroid<br />

few Å’s away<br />

from oxide interface<br />

C ox<br />

poly-Si<br />

gate<br />

gate charge centroid<br />

few Å’s away from<br />

oxide interface<br />

p-well<br />

1.5nm (15Å)<br />

gate<br />

oxide<br />

Si<br />

substrate<br />

n + poly gate<br />

gate<br />

oxide<br />

Wong, IBM [19]<br />

• Even heavily-doped poly is a limited conduc<strong>to</strong>r<br />

• Discrepancy b<strong>et</strong>ween electric<strong>al</strong> & physic<strong>al</strong> thicknesses since charge<br />

is not intimately in contact with oxide interface<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong> Slide 82


Enter High-K Dielectric + M<strong>et</strong><strong>al</strong>-Gate<br />

• High-K Dielectric (HK)<br />

• Hf-based materi<strong>al</strong> with K~20–30 (Zr-based <strong>al</strong>so considered)<br />

• Need <strong>to</strong> overcome hyster<strong>et</strong>ic polarization<br />

• High deposition temperature <strong>for</strong> good film qu<strong>al</strong>ity<br />

• M<strong>et</strong><strong>al</strong>-Gate (MG)<br />

• Thin conductive film intimately in contact with high-K dielectric<br />

<strong>to</strong> s<strong>et</strong> gate work function M V FB V T<br />

• Want band-edge M , i.e., N<strong>MOS</strong> @ E C & P<strong>MOS</strong> @ E V<br />

(just like n + poly & p + poly) different MG <strong>for</strong> N<strong>MOS</strong> & P<strong>MOS</strong><br />

• Typic<strong>al</strong>ly complex stack of different m<strong>et</strong><strong>al</strong> layers secr<strong>et</strong> sauce<br />

• Conductive fill m<strong>et</strong><strong>al</strong> on <strong>to</strong>p of M -s<strong>et</strong>ting m<strong>et</strong><strong>al</strong>-gate<br />

• Key ch<strong>al</strong>lenges<br />

• INTEGRATION, INTEGRATION, INTEGRATION<br />

• M shifts when exposed <strong>to</strong> dopant activation anne<strong>al</strong>s<br />

• G<strong>et</strong>ting the right V T <strong>for</strong> both N<strong>MOS</strong> & P<strong>MOS</strong><br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 83


A<strong>to</strong>mic Layer Deposition<br />

• Deposit monolayer at a time using sequenti<strong>al</strong> pulses of gases<br />

• Introduce one reactant at a time & purge be<strong>for</strong>e introducing next<br />

reactant<br />

• Key <strong>to</strong> precise film thickness control of HKMG stack<br />

• e.g., SiO 2 (SiCl 4 +H 2 O) HfO 2 (HfCl 4 +H 2 O) TiN (TiCl 4 +NH 3 )<br />

Introduce pulse of HfCl 4 gas<br />

repeat cycle <strong>for</strong><br />

desired number<br />

of monolayers<br />

Surface reaction <strong>to</strong> <strong>for</strong>m HfO 2<br />

Monolayer adsoprtion of HfCl 4<br />

Introduce pulse of H 2 O gas<br />

ICKnowledge.com [20]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 84


HK-First / MG-First Integration<br />

1 2<br />

3<br />

4<br />

Deposit HK<br />

Deposit MG1<br />

Pattern MG1<br />

Deposit MG2<br />

Pattern MG2<br />

Deposit gate<br />

Pattern gates /<br />

MGs / HK<br />

Implant/anne<strong>al</strong> S/ D<br />

Form silicide<br />

Deposit/CMP ILD0<br />

Form contacts<br />

• Obvious extension of poly-Si gate integration<br />

• Seems obvious & “easy” at first but plagued with unstable work<br />

function when HKMG is exposed <strong>to</strong> activation anne<strong>al</strong>s<br />

• Especi<strong>al</strong>ly problematic with P<strong>MOS</strong> V T coming out <strong>to</strong>o high<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 85


Glob<strong>al</strong>Foundries 32nm-SOI<br />

N<strong>MOS</strong><br />

P<strong>MOS</strong><br />

epi-cSiGe <strong>to</strong><br />

s<strong>et</strong> channel<br />

M<br />

IDoff (nA/µm)<br />

poly/<br />

SiON<br />

+35%<br />

HKMG<br />

IDoff (nA/µm)<br />

poly/<br />

SiON<br />

+25%<br />

HKMG<br />

IDsat (µA/µm)<br />

IDsat (µA/µm)<br />

Horstmann <strong>et</strong> <strong>al</strong>., Glob<strong>al</strong>Foundries [21]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 86


HK-First / MG-Last Integration<br />

1 2<br />

3<br />

4<br />

Deposit HK / gate<br />

Pattern gate / HK<br />

Implant/anne<strong>al</strong> S / D<br />

Form silicide<br />

Deposit ILD0<br />

CMP ILD0 <strong>to</strong> expose<br />

<strong>to</strong>p of gate<br />

Remove gate<br />

Deposit MG1<br />

Pattern MG1<br />

Deposit MG2<br />

Pattern MG2<br />

Deposit gate-fill<br />

CMP gate-fill / MGs<br />

Deposit more ILD0<br />

Form contacts<br />

• High therm<strong>al</strong> budg<strong>et</strong> available <strong>for</strong> middle-of-line<br />

• Low therm<strong>al</strong> budg<strong>et</strong> <strong>for</strong> m<strong>et</strong><strong>al</strong> gate more gate m<strong>et</strong><strong>al</strong> choices<br />

• Enhanced strain when sacrifici<strong>al</strong> poly is removed & resulting<br />

trench is filled with gate fill m<strong>et</strong><strong>al</strong><br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 87


Intel 45nm<br />

N<strong>MOS</strong><br />

P<strong>MOS</strong><br />

Auth <strong>et</strong> <strong>al</strong>, Intel [9]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 88


HK-Last / MG-Last Integration<br />

1 2<br />

3<br />

4<br />

Deposit oxide / gate<br />

Pattern gate / oxide<br />

Implant/anne<strong>al</strong> S / D<br />

Deposit ILD0<br />

CMP ILD0 <strong>to</strong> expose<br />

<strong>to</strong>p of gate<br />

Remove gate/oxide<br />

Deposit HK<br />

Deposit MG1<br />

Pattern MG1<br />

Deposit MG2<br />

Pattern MG2<br />

Deposit gate-fill<br />

CMP gate-fill / MGs<br />

Deposit more ILD0<br />

Pattern contacts<br />

Form silicide<br />

Form contacts<br />

• Same advantages as HK-first / MG-last integration<br />

• Overcomes EOT sc<strong>al</strong>ing limitations in HK-first / MG-last<br />

• Need <strong>to</strong> postpone silicidation <strong>to</strong> after contact <strong>et</strong>ch<br />

• Need trench contacts <strong>to</strong> accommodate silicide m<strong>et</strong><strong>al</strong> deposition<br />

• DSL relax & no longer useful since contacts cut through FET width<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 89


Intel 32nm<br />

Packan <strong>et</strong> <strong>al</strong>, Intel [22]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 90


Outline<br />

• Part 1<br />

– Motivation<br />

– <strong>MOS</strong>FET & Short-Channel Fundament<strong>al</strong>s<br />

– Lithography<br />

– G<strong>et</strong>ting <strong>to</strong> 130nm<br />

– More <strong>MOS</strong>FET Fundament<strong>al</strong>s<br />

– Parti<strong>al</strong>ly-Depl<strong>et</strong>ed SOI<br />

• Part 2<br />

– Strain Engineering (90nm & Beyond)<br />

– High-K / M<strong>et</strong><strong>al</strong>-Gate (45nm & Beyond)<br />

– Migrating <strong>to</strong> Fully-Depl<strong>et</strong>ed (22nm & Beyond)<br />

– Tri-Gate FinFETs<br />

– Conclusions<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 91


What Does Fully-Depl<strong>et</strong>ed Re<strong>al</strong>ly Mean?<br />

• Consider what happens when SOI layer thins down<br />

fully-depl<strong>et</strong>ed when turned on<br />

source<br />

drain<br />

source<br />

drain<br />

buried p-well oxide<br />

depl<strong>et</strong>ion<br />

region<br />

substrate<br />

p-well<br />

depl<strong>et</strong>ion<br />

region<br />

substrate<br />

• Conservation of charge cannot be violated<br />

• So once body is fully depl<strong>et</strong>ed, extra gate charge must be b<strong>al</strong>anced<br />

by charge elsewhere, e.g., beneath buried oxide<br />

• If substrate is insula<strong>to</strong>r, then charge must come from source/drain<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 92


Maintain<br />

IDsat & IDoff<br />

Benefits of Lower DIBL & S<br />

Same S<br />

Lower DIBL<br />

Lower S<br />

Same DIBL<br />

IDoff<br />

log (I DS )<br />

V Tsat V Tlin<br />

V DD<br />

IDsat<br />

IDlin<br />

V GS<br />

IDoff<br />

log (I DS )<br />

V Tsat V Tlin<br />

log (I DS )<br />

IDsat<br />

IDlin<br />

IDoff<br />

V<br />

V GS<br />

DD<br />

V Tsat V Tlin<br />

V DD<br />

IDsat<br />

IDlin<br />

V GS<br />

• Fully-depl<strong>et</strong>ed options<br />

• Planar: FD-SOI, Bulk with r<strong>et</strong>rograded well<br />

• 3-D: FinFET or Tri-Gate – SOI or Bulk<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 93


The Big De<strong>al</strong> with Lower DIBL<br />

Higher per<strong>for</strong>mance <strong>for</strong> the same IDsat & IDoff<br />

L. Wei <strong>et</strong> <strong>al</strong>, Stan<strong>for</strong>d [23]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 94


Body Thickness <strong>for</strong> Fully-Depl<strong>et</strong>ed<br />

x<br />

d<br />

<br />

2 Si<br />

2<br />

b<br />

qN<br />

x d<br />

x d (nm)<br />

1000<br />

100<br />

10<br />

fully<br />

depl<strong>et</strong>ed<br />

parti<strong>al</strong>ly<br />

depl<strong>et</strong>ed<br />

p-well<br />

depl<strong>et</strong>ion<br />

region<br />

substrate<br />

1<br />

10 15 10 16 10 17 10 18 10 19 10 20<br />

Body Doping, N (cm -3 )<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 95


Fully-Depl<strong>et</strong>ed Planar on SOI<br />

• a.k.a. ET (Extremely Thin) or<br />

UTBB (Ultra-Thin Body & BOX)<br />

SOI <strong>to</strong> refer <strong>to</strong> very thin SOI<br />

and Buried Oxide (BOX) layers<br />

• SOI Si layer is so thin that<br />

charge mirroring gate charge<br />

comes from beneath BOX<br />

buried oxide<br />

substrate<br />

K. Cheng <strong>et</strong> <strong>al</strong>, IBM [24]<br />

thick <strong>to</strong> reduce series<br />

resistance & apply stress<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 96


Thin BOX <strong>to</strong> Suppress SCE<br />

T. Skotnicki, STMicroelectronics [25]<br />

• Fully-depl<strong>et</strong>ed <strong>al</strong>one does not eliminate SCE<br />

• Field lines from drain are still comp<strong>et</strong>ing <strong>for</strong> body charge<br />

• If body is fully depl<strong>et</strong>ed, these field lines cannot terminate in the<br />

body since there’s no charge <strong>to</strong> terminate <strong>to</strong> no DIBL<br />

• Charge elsewhere must be nearby or field lines from drain will<br />

terminate on source charge <br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 97


Per<strong>for</strong>mance Tuning with Backgate Bias<br />

Yamaoka <strong>et</strong> <strong>al</strong>., Hitachi [26]<br />

T. Skotnicki, STMicroelectronics [27]<br />

• Like a “body effect” in planar bulk with C Si spanning SOI & BOX<br />

• Backgate bias can modulate both N<strong>MOS</strong> and P<strong>MOS</strong> V T at 80mV/V<br />

• Not option in finFETs but finFET subthreshold slope is b<strong>et</strong>ter<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 98


Fully-Depl<strong>et</strong>ed Planar on Bulk<br />

1 Low-doped layer <strong>for</strong> RDF reduction<br />

(fully depl<strong>et</strong>ed)<br />

2 V T s<strong>et</strong>ting layer <strong>for</strong> multiple V T devices<br />

3 Highly-doped screening layer <strong>to</strong><br />

terminate depl<strong>et</strong>ion<br />

4 Sub-surface punchthrough prevention<br />

Reduced RDF <strong>for</strong> tighter V T<br />

control & lower SRAM V DDmin<br />

Fujita <strong>et</strong> <strong>al</strong>., Fujitsu & SuVolta [28]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 99


Random Dopant Fluctuation (RDF)<br />

50<br />

V T (mV)<br />

40<br />

30<br />

20<br />

minimum<br />

length<br />

N<strong>MOS</strong><br />

??<br />

10<br />

0<br />

130nm 90nm 65nm 45nm<br />

• RDF more prev<strong>al</strong>ent with sc<strong>al</strong>ing since number of dopants is<br />

decreasing with each <strong>MOS</strong> generation<br />

• Why does RDF impact magic<strong>al</strong>ly disappear in fully-depl<strong>et</strong>ed?<br />

Auth, Intel [9]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 100


RDF in Convention<strong>al</strong> <strong>MOS</strong><br />

<br />

• Back <strong>to</strong> basics<br />

• Conservation of charge<br />

V E dx<br />

• Electric field lines start at +ve charge & end at –ve charge<br />

• Random locations of dopant a<strong>to</strong>ms<br />

• Lengths of field lines exhibit variation<br />

• Integrated field (voltage or band bending) has V T variation<br />

poly gate<br />

n +<br />

source<br />

+ + + + + + + + + + + +<br />

–<br />

–<br />

– –<br />

–<br />

–<br />

–<br />

–<br />

–<br />

– –<br />

–<br />

n +<br />

drain<br />

parti<strong>al</strong>ly depl<strong>et</strong>ed<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 101


Why Fully-Depl<strong>et</strong>ed Eliminates RDF<br />

• In fully-depl<strong>et</strong>ed SOI, field lines from gate cannot terminate in<br />

the undoped body (no charge there)<br />

• Mirror charges are loc<strong>al</strong>ized beneath BOX<br />

• Lengths of field lines have very tight distribution<br />

• V T variation is sm<strong>al</strong>l<br />

• However, V T now very sensitive <strong>to</strong> dimension<strong>al</strong> variation,<br />

e.g., SOI and BOX thickness<br />

poly gate<br />

undoped<br />

poly gate<br />

+ + + + + + + + + + + +<br />

+ + + + + + + + + + + +<br />

n +<br />

source<br />

–<br />

–<br />

–<br />

–<br />

– –<br />

–<br />

–<br />

–<br />

– –<br />

–<br />

n +<br />

drain<br />

n +<br />

source<br />

n +<br />

drain<br />

– – – – – – – – – – – –<br />

parti<strong>al</strong>ly depl<strong>et</strong>ed<br />

fully depl<strong>et</strong>ed<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 102


Outline<br />

• Part 1<br />

– Motivation<br />

– <strong>MOS</strong>FET & Short-Channel Fundament<strong>al</strong>s<br />

– Lithography<br />

– G<strong>et</strong>ting <strong>to</strong> 130nm<br />

– More <strong>MOS</strong>FET Fundament<strong>al</strong>s<br />

– Parti<strong>al</strong>ly-Depl<strong>et</strong>ed SOI<br />

• Part 2<br />

– Strain Engineering (90nm & Beyond)<br />

– High-K / M<strong>et</strong><strong>al</strong>-Gate (45nm & Beyond)<br />

– Migrating <strong>to</strong> Fully-Depl<strong>et</strong>ed (22nm & Beyond)<br />

– Tri-Gate FinFETs<br />

– Conclusions<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 103


What is Fully-Depl<strong>et</strong>ed Tri-Gate?<br />

32nm planar<br />

22nm tri-gate<br />

• Channel on 3 sides<br />

• Fin width is quantized<br />

(SRAM & logic implications)<br />

Hu, UC Berkeley [29]<br />

M. Bohr, Intel [30]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 104


Tri-Gate FinFETs in Production<br />

32nm planar<br />

22nm tri-gate<br />

Truly impressive!!!<br />

gate<br />

fin<br />

M. Bohr, Intel [30]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 105


Cryst<strong>al</strong>lography Basics<br />

• Planes indexed by (xyz) of norm<strong>al</strong> vec<strong>to</strong>r (Miller indices)<br />

• Directions indexed by <br />

• Negative indices denoted by bar on <strong>to</strong>p of number<br />

• Planes of interest: (100), (110), (111)<br />

– Many planes are equiv<strong>al</strong>ent due <strong>to</strong> symm<strong>et</strong>ry<br />

x<br />

<br />

direction<br />

<br />

direction<br />

<br />

direction<br />

y<br />

(100)<br />

plane<br />

z<br />

(110)<br />

plane<br />

(111)<br />

plane<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 106


Convention<strong>al</strong> Wafer Surface<br />

Orientation & Channel Direction<br />

x (100)<br />

(100)<br />

z (001)<br />

0° notch<br />

y (010)<br />

• Wafer norm<strong>al</strong> is (100), current flows in direction<br />

• Tri-Gate FinFET: <strong>to</strong>p surface (100), sidew<strong>al</strong>l surfaces (110)<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 107


Mobility Dependence on Surface<br />

Orientation & Direction of Current<br />

N<strong>MOS</strong><br />

P<strong>MOS</strong><br />

<strong>to</strong>p of fin<br />

sidew<strong>al</strong>ls of fin<br />

sidew<strong>al</strong>ls of fin<br />

<strong>to</strong>p of fin<br />

Yang <strong>et</strong> <strong>al</strong>., IBM [31]<br />

• Strain-induced mobility boost <strong>al</strong>so depends on surface<br />

orientation & channel direction – not as strong <strong>for</strong> current<br />

<strong>al</strong>ong sidew<strong>al</strong>ls vs. <strong>to</strong>p of fin<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 108


Fin Patterning – Sidew<strong>al</strong>l Image Transfer<br />

1 Deposit & pattern sacrifici<strong>al</strong> mandrel<br />

mandrel<br />

4<br />

Etch targ<strong>et</strong> materi<strong>al</strong> using spacer<br />

as hard mask<br />

substrate <strong>to</strong> <strong>et</strong>ch<br />

2 Deposit & <strong>et</strong>ch spacer<br />

spacer<br />

5 Remove spacer mask<br />

3 Remove mandrel<br />

hard mask<br />

<strong>for</strong> patterning<br />

• Standard approach <strong>for</strong><br />

patterning fins down <strong>to</strong><br />

60nm pitch (Intel 22nm)<br />

• In principle, pitch can go<br />

down <strong>to</strong> ~40nm without<br />

double patterning<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 109


Process Flow Summary I<br />

• Example shows tri-gate on SOI but<br />

bulk flow is similar<br />

• Pattern fins using SIT<br />

• Deposit/CMP STI oxide<br />

• Recess STI oxide by fin height<br />

• Deposit, CMP & pattern poly<br />

• Deposit spacer dielectric & <strong>et</strong>ch,<br />

leaving spacer on gate sidew<strong>al</strong>ls<br />

• Spacer must be removed on fin<br />

sidew<strong>al</strong>l<br />

gate oxide on <strong>to</strong>p &<br />

both sidew<strong>al</strong>ls of fin<br />

fin<br />

buried oxide<br />

Paul, AMD [32]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 110


Process Flow Summary II<br />

• Recess fins<br />

• Grow Si epitaxi<strong>al</strong>ly <strong>to</strong> merge fins<br />

<strong>to</strong>g<strong>et</strong>her <strong>for</strong> reduced source/drain<br />

resistance<br />

• Induce uni-axi<strong>al</strong> channel strain by<br />

growing e-SiGe or e-SiC<br />

• Source/drain dopants come from<br />

in situ doping during epi<br />

• Deposit ILD0 & CMP <strong>to</strong> <strong>to</strong>p of poly<br />

• Do replacement-gate HKMG<br />

module<br />

• Deposit & pattern contact dielectric<br />

• Form trench contacts (note<br />

overlap capacitance <strong>to</strong> gate)<br />

trench<br />

contact<br />

epi<br />

growth<br />

m<strong>et</strong><strong>al</strong><br />

gate<br />

Paul, AMD [32]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 111


Some Tri-Gate Considerations<br />

• Field lines of from gate<br />

terminates at base of fins<br />

• Fin base must be heavily<br />

doped <strong>for</strong> fin-<strong>to</strong>-fin isolation<br />

• Dimension<strong>al</strong> variation of fins<br />

device variation<br />

• Current density is not uni<strong>for</strong>m<br />

<strong>al</strong>ong width of device – V T &<br />

S varies <strong>al</strong>ong sidew<strong>al</strong>l<br />

trench<br />

contact<br />

• Series resistance vs. overlap<br />

capacitance<br />

m<strong>et</strong><strong>al</strong><br />

gate<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 112


Intel 22nm TEM Cross-Sections<br />

Single fin (<strong>al</strong>ong W)<br />

N<strong>MOS</strong> (<strong>al</strong>ong L)<br />

Epi merge (<strong>al</strong>ong W)<br />

P<strong>MOS</strong> (<strong>al</strong>ong L)<br />

Auth, Intel [33]<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 113


IDSAT (A/m)<br />

1.E-02<br />

1.E-03<br />

1.E-04<br />

1.E-05<br />

1.E-06<br />

1.E-07<br />

Intel 22nm Per<strong>for</strong>mance at 0.8V<br />

0.80V<br />

0.05V<br />

P<strong>MOS</strong><br />

VGS (V)<br />

N<strong>MOS</strong><br />

0.80V<br />

0.05V<br />

1.E-08 SS ~72mV/dec SS ~69mV/dec<br />

DIBL ~50 mV/V DIBL ~46 mV/V<br />

1.E-09<br />

-1.0 -0.6 -0.2 0.2 0.6 1.0<br />

1000<br />

IOFF (nA/m)<br />

100<br />

10<br />

1<br />

VDD = 0.8V<br />

32nm<br />

SP:<br />

0.88 mA/m<br />

HP:<br />

1.26 mA/m<br />

MP:<br />

1.07 mA/m<br />

0.1<br />

0.6 0.8 1 1.2 1.4 1.6<br />

IDSAT (mA/m)<br />

1000<br />

IOFF (nA/m)<br />

100<br />

10<br />

1<br />

N<strong>MOS</strong><br />

VDD = 0.8V<br />

P<strong>MOS</strong><br />

32nm<br />

SP:<br />

0.78 mA/m<br />

HP:<br />

1.10 mA/m<br />

MP:<br />

0.95 mA/m<br />

Auth, Intel [33]<br />

0<br />

0.6 0.8 1 1.2 1.4<br />

IDSAT (mA/m)<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 114


Conclusions<br />

• Digit<strong>al</strong> needs will continue <strong>to</strong> drive C<strong>MOS</strong> sc<strong>al</strong>ing<br />

but at slower pace<br />

• Expect new learning in 20nm & 14nm as we cope<br />

with fin design & layout<br />

• SPICE models will lag <strong>to</strong> include new effects<br />

• <strong>Designers</strong> with good technology knowledge are best<br />

positioned <strong>for</strong> silicon success<br />

• Exciting time <strong>to</strong> be designing<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 115


References I<br />

[1] M. Keating, “Science fiction or technology roadmap: a look at the future of SoC design,” in SNUG San Jose Conf., Mar.<br />

2010.<br />

[2] L. Bair, “Process/product interactions in a concurrent design environment,” in Proc. IEEE Cus<strong>to</strong>m Integrated <strong>Circuit</strong>s Conf.,<br />

pp. 779782, Sep. 2007.<br />

[3] M. Na <strong>et</strong> <strong>al</strong>., “The effective drive current in C<strong>MOS</strong> inverters,” in IEEE Int. Electron Devices Me<strong>et</strong>ing Tech. Dig., pp. 121–<br />

124, Dec. 2002.<br />

[4] S.M. Sze, Physics of Semiconduc<strong>to</strong>r Devices (2 nd ed.), John Wiley & Sons, 1981.<br />

[5] A. Wei, “Foundry trends: technology ch<strong>al</strong>lenges and opportunities beyond 32nm,” in IEEE Vail Computer Elements<br />

Workshop, Jun. 2010.<br />

[6] www.nikon.com<br />

[7] J. Plummer <strong>et</strong> <strong>al</strong>., Silicon VLSI <strong>Technology</strong>– Fundament<strong>al</strong>s, Practice and Modeling, Prentice-H<strong>al</strong>l, 2000.<br />

[8] S. Sivakumar, “Lithography <strong>for</strong> the 15nm node,” in IEEE Int. Electron Devices Me<strong>et</strong>ing Short Course, Dec. 2010.<br />

[9] C. Auth, “45nm high-k + m<strong>et</strong><strong>al</strong>-gate strain-enhanced C<strong>MOS</strong> transis<strong>to</strong>rs,” in Proc. IEEE Cus<strong>to</strong>m Integrated <strong>Circuit</strong>s Conf.,<br />

pp. 379–386, Sep. 2008.<br />

[10] A. <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., “Constant-current threshold voltage extraction in HSPICE <strong>for</strong> nanosc<strong>al</strong>e C<strong>MOS</strong> an<strong>al</strong>og design,” in SNUG<br />

San Jose Conf., Mar. 2010.<br />

[11] www.soitec.com<br />

[12] R. Bianchi <strong>et</strong> <strong>al</strong>., “Accurate modeling of trench isolation Induced mechanic<strong>al</strong> stress effects on <strong>MOS</strong>FET electric<strong>al</strong><br />

per<strong>for</strong>mance,” in IEEE Int. Electron Devices Me<strong>et</strong>ing Tech. Dig., pp. 117-120, Dec. 2002.<br />

[13] V. Chan <strong>et</strong> <strong>al</strong>., “Strain <strong>for</strong> C<strong>MOS</strong> per<strong>for</strong>mance improvement,” in Proc. IEEE Cus<strong>to</strong>m Integrated <strong>Circuit</strong>s Conf., pp. 667–674,<br />

Sep.2005.<br />

[14] X. Xi <strong>et</strong> <strong>al</strong>., BSIM4.3.0 <strong>MOS</strong>FET Model – User’s Manu<strong>al</strong>, The Regents of the University of C<strong>al</strong>i<strong>for</strong>nia at Berkeley, 2003<br />

[15] J. Faricelli, “Layout-dependent proximity effects in deep nanosc<strong>al</strong>e C<strong>MOS</strong>,” in Proc. IEEE Cus<strong>to</strong>m Integrated <strong>Circuit</strong>s<br />

Conf., pp. 1–8, Sep.2010.<br />

[16] T. Hook <strong>et</strong> <strong>al</strong>., “Later<strong>al</strong> ion implant straggle and mask proximity effect,” IEEE Trans. Electron Devices, vol. 50, no. 9, pp.<br />

1946-1951, Sep. 2003.<br />

[17] Y. Sheu <strong>et</strong> <strong>al</strong>., “Modeling well edge proximity effect on highly-sc<strong>al</strong>ed <strong>MOS</strong>FETs,” in Proc. IEEE Cus<strong>to</strong>m Integrated <strong>Circuit</strong>s<br />

Conf., pp. 831–834, Sep. 2005.<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 116


References II<br />

[18] J. McPherson, “Reliability trends with advanced C<strong>MOS</strong> sc<strong>al</strong>ing and the implications on design,” in Proc. IEEE Cus<strong>to</strong>m<br />

Integrated <strong>Circuit</strong>s Conf., pp. 405–412, Sep. 2007.<br />

[19] P. Wong, “Beyond the convention<strong>al</strong> transis<strong>to</strong>r,” IBM J. Research & Development, pp. 133–168, vol. 2-3, no. 46, Mar. 2002.<br />

[20] www.ICKnowledge.com<br />

[21] M. Horstmann <strong>et</strong> <strong>al</strong>., “Advanced SOI C<strong>MOS</strong> transis<strong>to</strong>r technologies <strong>for</strong> high-per<strong>for</strong>mance microprocessor applications,” in<br />

Proc. IEEE Cus<strong>to</strong>m Integrated <strong>Circuit</strong>s Conf., pp. 149–152, Sep. 2009.<br />

[22] P. Packan <strong>et</strong> <strong>al</strong>., “High per<strong>for</strong>mance 32nm logic technology featuring 2 nd generation high-k + m<strong>et</strong><strong>al</strong> gate transis<strong>to</strong>rs,” in<br />

IEEE Int. Electron Devices Me<strong>et</strong>ing Tech. Dig., pp. 1–4, Dec. 2009.<br />

[23] L. Wei <strong>et</strong> <strong>al</strong>., “Exploration of device design space <strong>to</strong> me<strong>et</strong> circuit speed targ<strong>et</strong>ing 22nm and beyond,” in Proc. Int. Conf.<br />

Solid State Devices and Materi<strong>al</strong>s, pp. 808–809, Sep. 2009.<br />

[24] K. Cheng <strong>et</strong> <strong>al</strong>., “Fully depl<strong>et</strong>ed extremely thin SOI technology fabricate by a novel integration scheme featuring implantfree,<br />

zero-silicon-loss, and fac<strong>et</strong>ed raised source/drain,” in IEEE Symp. VLSI <strong>Technology</strong> Tech. Dig., pp. 212–213,<br />

Jun.2009.<br />

[25] T. Skotnicki, “C<strong>MOS</strong> technologies – trends, sc<strong>al</strong>ing and issues ,” in IEEE Int. Electron Devices Me<strong>et</strong>ing Short Course, Dec.<br />

2010.<br />

[26] M. Yamaoka <strong>et</strong> <strong>al</strong>., “SRAM circuit with expanded operating margin and reduced stand-by leakage current using thin BOX<br />

FD-SOI transis<strong>to</strong>rs,“ IEEE J. Solid-State <strong>Circuit</strong>s, vol. 41, no.11, Nov. 2006.<br />

[27] T. Skotnicki, “Comp<strong>et</strong>itive SOC with UTBB SOI,” in Proc. IEEE SOI Conf., Oct. 2011.<br />

[28] K. Fujita <strong>et</strong> <strong>al</strong>., “Advanced channel engineering achieving aggressive reduction of V T variation <strong>for</strong> ultra-low power<br />

applications,” in IEEE Int. Electron Devices Me<strong>et</strong>ing Tech. Dig., pp. 32.3.1–32.3.4, Dec. 2011.<br />

[29] C. Hu, “FinFET <strong>3D</strong> transis<strong>to</strong>r and the concept behind it,” in IEEE Electron Device Soc. Webinar, Jul. 2011.<br />

[30] M. Bohr, “22 nm tri-gate transis<strong>to</strong>rs <strong>for</strong> industry-leading low power capabilities,” in Intel Developer Forum, Sep. 2011.<br />

[31] M. Yang <strong>et</strong> <strong>al</strong>., “Hybrid-orientation technology (HOT): opportunities and ch<strong>al</strong>lenges,” IEEE Trans. Electron Devices, vol. 53,<br />

no. 5, pp. 965–978, May 2006.<br />

[32] S. Paul, “FinFET vs. trigate: parasitic capacitance and resistance”, AMD Intern<strong>al</strong> Presentation, Aug. 2011.<br />

[33] C. Auth <strong>et</strong> <strong>al</strong>., “A 22nm high per<strong>for</strong>mance and low-power C<strong>MOS</strong> technology featuring fully-depl<strong>et</strong>ed tri-gate transis<strong>to</strong>rs,<br />

self-<strong>al</strong>igned contacts and high density MIM capaci<strong>to</strong>rs,” in IEEE Symp. VLSI <strong>Technology</strong> Tech. Dig., pp. 131–132, Jun.<br />

2012.<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 117


Acknowledgments<br />

Thanks <strong>to</strong> the authors of the countless<br />

published materi<strong>al</strong> used as illustrations<br />

in this presentation<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 118


The Shoulders I Humbly Stand On<br />

Bob Barnes<br />

Agilent<br />

Larry Bair<br />

AMD<br />

John Bravman<br />

Bucknell<br />

Tom Cynkar<br />

Avago<br />

Dick Dowell<br />

Avago<br />

Bruce Doyle<br />

AMD<br />

Emerson Fang<br />

Apple<br />

John Faricelli<br />

AMD<br />

Dennis Fisch<strong>et</strong>te<br />

AMD<br />

Phil Fisher<br />

Avago<br />

Mike Gilsdorf<br />

Avago<br />

Jung-Suk Goo<br />

Glob<strong>al</strong>Foundries<br />

Rick Hernandez<br />

PMC-Sierra<br />

Mark Horowitz<br />

Stan<strong>for</strong>d<br />

Ron Kennedy<br />

Avago<br />

Takamaro Kikkawa<br />

Hiroshima Univ.<br />

Greg Kovacs<br />

Stan<strong>for</strong>d<br />

Steve Kuehne<br />

LSI<br />

Tom Lee<br />

Stan<strong>for</strong>d<br />

Justin Leung<br />

Intel<br />

Ying-Keung Leung<br />

Glob<strong>al</strong>Foundries<br />

Tom Lii<br />

TI<br />

Joe McPherson<br />

TI<br />

Bich-Yen Nguyen<br />

Soitec<br />

Charles Moore<br />

Avago<br />

Michael Oshima<br />

AMD<br />

Chintamani P<strong>al</strong>sule<br />

Sionyx<br />

Jim Pfiester<br />

Avago<br />

Jim Plummer<br />

Stan<strong>for</strong>d<br />

Dave Pulfrey<br />

UBC<br />

Gary Ray<br />

Intel<br />

Changsup Ryu<br />

Samsung<br />

Krishna Saraswat<br />

Stan<strong>for</strong>d<br />

Matt Angy<strong>al</strong><br />

IBM<br />

Qi-Zhong Hong<br />

TI<br />

Wei-Yung Hsu<br />

Applied Materi<strong>al</strong>s<br />

Shawn Searles<br />

AMD<br />

Ray Stephany<br />

AMD<br />

Gerry T<strong>al</strong>bot<br />

AMD<br />

Tom Tiedje<br />

Univ. Vic<strong>to</strong>ria<br />

Paul Townsend<br />

SBA Materi<strong>al</strong>s<br />

Jeff W<strong>et</strong>zel<br />

SVTC<br />

Martin Wedepohl<br />

UBC<br />

Tin Tin Wee<br />

AMD<br />

Simon Wong<br />

Stan<strong>for</strong>d<br />

Bruce Wooley<br />

Stan<strong>for</strong>d<br />

Andy Wei<br />

Glob<strong>al</strong>Foundries<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong> Slide 119

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!