29.04.2015 Views

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Outline<br />

• Part 1<br />

– Motivation<br />

– <strong>MOS</strong>FET & Short-Channel Fundament<strong>al</strong>s<br />

– Lithography<br />

– G<strong>et</strong>ting <strong>to</strong> 130nm<br />

– More <strong>MOS</strong>FET Fundament<strong>al</strong>s<br />

– Parti<strong>al</strong>ly-Depl<strong>et</strong>ed SOI<br />

• Part 2<br />

– Strain Engineering (90nm & Beyond)<br />

– High-K / M<strong>et</strong><strong>al</strong>-Gate (45nm & Beyond)<br />

– Migrating <strong>to</strong> Fully-Depl<strong>et</strong>ed (22nm & Beyond)<br />

– Tri-Gate FinFETs<br />

– Conclusions<br />

© <strong>Loke</strong> <strong>et</strong> <strong>al</strong>., <strong>2D</strong> <strong>to</strong> <strong>3D</strong> <strong>MOS</strong> <strong>Technology</strong> <strong>Evolution</strong> <strong>for</strong> <strong>Circuit</strong> <strong>Designers</strong><br />

Slide 1

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!