26.11.2012 Views

Beyond Bits VII - Freescale Semiconductor

Beyond Bits VII - Freescale Semiconductor

Beyond Bits VII - Freescale Semiconductor

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Back to Table of Contents<br />

ARM ® Cortex-A5 Processor Pipeline Organization 1<br />

Fetch 1 Fetch 2 Fetch 3<br />

ARM ® Cortex-M3 and ARM Cortex-M4 Pipeline<br />

For the standard configuration, Vybrid<br />

systems can best be characterized as<br />

a heterogeneous, symmetric, cachebased<br />

dual-core MPU architecture.<br />

The two ARM Cortex cores share<br />

an instruction set architecture with<br />

a common memory map, and the<br />

freescale.com/Vybrid<br />

Instruction ruc<br />

Queue ue<br />

Decode<br />

1 “ARM’s Midsize Multiprocessor, Next Cortex-A5 Supports<br />

Four-Way Coherent Multiprocessing,” Tom R. Halfhill,<br />

Microprocessor Report, 10/26/2009<br />

LSU branch<br />

result<br />

Fe De Ex<br />

Fetch<br />

Address<br />

Generation<br />

Unit<br />

Instruction<br />

Decode<br />

and<br />

Register<br />

Read<br />

Branch<br />

Address<br />

Phase<br />

and<br />

Writeback<br />

Shift<br />

Multiply<br />

and<br />

Divide<br />

Branch Forwarding<br />

and Speculation<br />

LU Branch No Forwarded/Speculated<br />

Issue<br />

Addr<br />

Gen<br />

Data<br />

Phase<br />

Load/<br />

Store<br />

and<br />

Branch<br />

ALU<br />

and<br />

Branch<br />

LSU Branch Result<br />

Mul1<br />

Shift<br />

Delta<br />

Cache<br />

1<br />

FP1<br />

address space is effectively accessible<br />

from either core. Memory coherency is<br />

wholly managed by software. There is<br />

hardware support for basic multicore<br />

requirements including peripheral<br />

interrupt steering plus directed<br />

CPU interrupts for inter-processor<br />

<strong>Beyond</strong> <strong>Bits</strong> Vybrid Edition<br />

WR<br />

Mul2<br />

ALU<br />

Delta<br />

Cache<br />

2<br />

FP2<br />

Writeback<br />

Writeback<br />

Writeback<br />

FP3 FP4 FP5<br />

communication, semaphores, run/<br />

halt/reset control, memory protection<br />

via ARM’s TrustZone architecture with<br />

<strong>Freescale</strong> security extensions and<br />

shared dual-core debug resources<br />

including cross-triggering capabilities.<br />

With significant amounts of both<br />

processor-local memories (L1 core<br />

caches, ARM Cortex-M4’s tightly<br />

coupled memories with its backdoor<br />

port for alternate bus master<br />

accesses, and the optional ARM<br />

Cortex-A5 512 KB L2 cache) and<br />

the SoC resources associated with<br />

on-chip RAM and boot ROM plus<br />

the controllers for the external DDR<br />

DRAM, Quad SPI (flash) memories<br />

and FlexBus, the Vybrid architecture<br />

is a high-performance dual-core<br />

implementation, providing rich<br />

applications in real time for a number<br />

of growing embedded application<br />

spaces.<br />

21

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!