12.07.2015 Views

NoC design and optimization for Multi-core media processors

NoC design and optimization for Multi-core media processors

NoC design and optimization for Multi-core media processors

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

CHAPTER 1. INTRODUCTION 9identification algorithms to identify contention free, b<strong>and</strong>width provisioned pathsin LS-<strong>NoC</strong> called pipes. The LS-<strong>NoC</strong> Manager has complete visibility of the stateof LS-<strong>NoC</strong>. B<strong>and</strong>width requirements of the application are taken into account toprovision routes between communicating nodes by the flow identification algorithm.Flow based pipe establishment algorithm is topology independent <strong>and</strong> hence the<strong>NoC</strong> Manager supports applications mapped to both regular chip multi<strong>processors</strong>(CMPs) <strong>and</strong> customized SoCs with non-conventional <strong>NoC</strong> topologies. Additionally,fault tolerance is achieved by the <strong>NoC</strong> Manager by considering link status duringpipe establishment.• Design of a Label Switched Router: The Label Switched (LS) Router used in LS-<strong>NoC</strong> achieves single cycle traversal delay during no contention <strong>and</strong> is multicast <strong>and</strong>broadcast capable. Source nodes in the LS-<strong>NoC</strong> can work asynchronously as cyclelevel scheduling is not required in the LS Router. LS router supports multiple clockdomain operation. Dual clock buffers can be used at output ports in the LS-<strong>NoC</strong>router. This eases clock domain crossovers <strong>and</strong> reduces the need <strong>for</strong> a single globallysynchronous clock. As a result, clock tree <strong>design</strong> is less complex <strong>and</strong> clock power ispotentially saved.1.6 Organization of the ThesisChapter 2 highlights several works from current literature related to the broad areasof QoS guaranteed <strong>NoC</strong>s, link microarchitecture, <strong>design</strong> space exploration of <strong>NoC</strong>s <strong>and</strong>effects of communication on energy <strong>and</strong> per<strong>for</strong>mance trade-offs in CMPs.Chapter 3 presents a latency, power <strong>and</strong> per<strong>for</strong>mance trade-off study of <strong>NoC</strong>s throughlink microarchitecture exploration using microarchitectural <strong>and</strong> circuit level parameters.<strong>NoC</strong> exploration framework used in the trade-off studies is described. The interface tothe SystemC framework <strong>and</strong> sample output logs generater are presented in Appendix A.Effects of on-chip <strong>and</strong> off-chip communication due to various CMP tile configurationsis explored in Chapter 4. The need to use detailed interconnection network models to

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!