31.01.2014 Views

Ph.D. - geht es zur Homepage der Informatik des Fachbereiches 3 ...

Ph.D. - geht es zur Homepage der Informatik des Fachbereiches 3 ...

Ph.D. - geht es zur Homepage der Informatik des Fachbereiches 3 ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

11.3. Simulation Model<br />

11.3.2. CDMI – Driver Model<br />

The model of the driver’s behaviour is defined by several decomposition of different stat<strong>es</strong>.<br />

The parent state machine is displayed in Figure 11.8. On the top level, only two stat<strong>es</strong> in a<br />

Figure 11.8.: UML state machine diagram of the driver’s behaviour<br />

non-terminating state machine are provided:<br />

Idling<br />

Evaluating_Data<br />

No data is currently available in the DMI. Nothing can be entered by the<br />

(virtual) driver.<br />

The DMI requir<strong>es</strong> data to be entered. The behaviour in this state is<br />

refined by a decomposition to a further sub-state machine, which is<br />

introduced in a separated section.<br />

11.3.2.1. Evaluating_Data State Machine<br />

The corr<strong>es</strong>ponding graph of the UML state machine is shown in Figure 11.9. Its stat<strong>es</strong> are<br />

explained as follows:<br />

Check_Type_of_Data<br />

Powering_System<br />

This initial state checks the type of the available inputs<br />

in the DMI by the EvaluateDMIInputs() function of<br />

the simulative PSM C-API (see Subsection 11.2.2). The<br />

full documentation and source code for all those C-API<br />

functions is located in the appendix in Section H.2.<br />

Furthermore, it is checked if the system / EVC was<br />

initially powered by the “Powering_System” state or<br />

the DMI has at least one input 3 . Otherwise, the execution<br />

of this state is not meaningful, and the simulation<br />

detects an error, which is is evaluated by @rttAssertstatement<br />

[72]. If the Boolean expr<strong>es</strong>sion in this statement<br />

evaluat<strong>es</strong> to false, the complete simulation is<br />

stopped and the error is logged.<br />

During an error-free simulation, this state is only executed<br />

once, at the beginning of the simulation. It<br />

3 return value of the DMIHasInput() function<br />

221

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!