12.05.2014 Views

Automating Manufacturing Systems - Process Control and ...

Automating Manufacturing Systems - Process Control and ...

Automating Manufacturing Systems - Process Control and ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

plc function ref - 34.29<br />

SQI - SeQuencer Input<br />

A<br />

Description:<br />

Status Bits:<br />

Registers:<br />

SQI<br />

SEQUENCER INPUT<br />

File<br />

#N7:10<br />

Mask FF00<br />

Source N7:0<br />

<strong>Control</strong> R6:0<br />

This will compare a source value to a set of values in a sequencer table.<br />

In this example the 8 most significant bits of ’N7:0’ will be loaded<br />

each time ’A’ goes from false to true. The sequencer will load words<br />

from ’N7:10’ to ’N7:17’.<br />

EN<br />

DN<br />

ER<br />

POS<br />

LEN<br />

Available on: Micrologix, PLC-5<br />

Length<br />

Position<br />

enable - true when the function is enabled<br />

done - set when the sequencer is full<br />

error - set if an error has occured<br />

position - the current location in the sequencer<br />

length - the total length of the sequencer<br />

7<br />

0<br />

SQL - SeQuencer Load<br />

A<br />

Description:<br />

Status Bits:<br />

SQL<br />

SEQUENCER LOAD<br />

File<br />

#N7:10<br />

Source N7:0<br />

<strong>Control</strong> R6:0<br />

Length 6<br />

Position 0<br />

When the input goes from false to true the value at the source will be<br />

loaded into the sequencer. After the position has reached the length the<br />

following values will be ignored, <strong>and</strong> the done bit will be set.<br />

EN<br />

DN<br />

ER<br />

Registers: none<br />

Available on: Micrologix, PLC-5<br />

Enable - will be true when the input to the function is true<br />

Done - will be set when the sequencer is fully loaded<br />

Error - will be set when there has been an error<br />

www.PA<strong>Control</strong>.com

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!