09.07.2015 Views

mitsubishi - Al Kossow's Bitsavers

mitsubishi - Al Kossow's Bitsavers

mitsubishi - Al Kossow's Bitsavers

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

MITSUBISHI MICROCOMPUTERS. MS0760·XXXP IMS0761·XXXPSINGLE·CHIP 4·BIT CMOS MICROCOM·PUTERPERFORMANCE SPECIFICATIONSPIN DESCRIPTIONPinVooVssFO-F3NameSupply voltageGroundInput/output port FInput or outputFunctionIn Positive power supply pin.In Ground pin.Port F is a 4-bit output-latched input/output port. N-channel transistor open-drain circuits are featured forInlout the outputs. When the port F output latch Is programmed to(1), the output floats (High-Impedance state),thereby enabling use of the port for Input.00-0 304-08Input/output port 0InloutOutPort 0 consists of 9 bits, each of which is Individually latched. N-channel transistor open-drain circuitsare featured for the outputs. Port 0 0 -0 3 pins have a 4-bit input function and when the output latch Isprogrammed to (1 ), the output floats (high-impedance state) thereby enabling use of the port for input.This pin has an active rising edge. When the S pin signal changes from low to high, the flag is set (1).SSenSing input SInNot only in case that the flag Is set 'il t, whennever you want to, you can test It. This enables testing andflag clearing using an instruction. You can test and clear it by using an instruction. The pin can be modifiedto a level active input pin with a mask option.X 1NXOUTClock inputClock outputInOutThese are the clock input and output pins to which an external resistor Is connected for RC oscillation ofthe clock generator or a ceramic resonator is connected. When an external clock Is used, connect thesource to XIN and leave XOUT open.RESETReset inputInThe device is reset when a low-level slngnal is applied for 2 or more machine cycles.CNVssCNVss inputInThis pin is connected to Vss and must have a low-level input applied to It (OV).2-4• MITSUBISHI..... ELECTRIC

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!