25.02.2017 Views

Formal Verification with Yosys-SMTBMC Clifford Wolf

slides

slides

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Bounded vs. Unbounded Methods<br />

●<br />

●<br />

Bounded methods only consider states reachable<br />

<strong>with</strong>in N time steps from initial states.<br />

Unbounded methods consider all reachable states,<br />

regardless of the number of time steps required to<br />

reach them from the initial states.<br />

●<br />

●<br />

BMC (bounded model check) is a bounded method.<br />

Temporal Induction can be used as a simple<br />

method for performing unbounded proofs <strong>with</strong> a<br />

bounded solver.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!