25.02.2017 Views

Formal Verification with Yosys-SMTBMC Clifford Wolf

slides

slides

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Bounded Liveness Properties<br />

●<br />

Safety properties are asserting that certain states are unreachable from a set of initial states.<br />

– This are the properties we have been looking at so far.<br />

●<br />

Liveness properties are asserting that certain state inevitable are going to be reached from a set<br />

of initial states.<br />

– Checking liveness is hard.<br />

– Usually this is used to prove that the circuit will always make progress, i.e. that the circuit cannot get stuck<br />

somehow.<br />

– In many cases bounded liveness properties are actually more useful: Assert that the target state is going to<br />

be reached <strong>with</strong>in a given timeout:<br />

integer timeout = 0;<br />

always @(posedge clk)<br />

if (axis_valid && !axis_ready)<br />

timeout

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!