13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

8628-bit subtractor : 48-bit adder : 19-bit adder : 19-bit subtractor : 1# Counters : 203-bit up counter : 44-bit up counter : 36-bit up counter : 18-bit up counter : 12# Registers : 1581-bit register : 3011-bit register : 3414-bit register : 3416-bit register : 425-bit register : 2528-bit register : 254-bit register : 48-bit register : 19-bit register : 1# Latches : 416-bit latch : 24-bit latch : 2# Comparators : 68-bit comparator equal : 28-bit comparator not equal : 4Utilisasi komponen <strong>FPGA</strong> seperti gerbang dan CLB ditunjukkan padaTabel 4.10. Dari laporan utilisasi komponen, diperoleh informasi bahwa padasistem yang dirancang, digunakan slice sebanyak 1421 unit, look up table (LUT)sebanyak 2485 unit, dan pengali sebanyak 11 unit.Tabel 4.10 Utilisasi komponen <strong>FPGA</strong>Number of Slices: 1421 out of 4656 30%Number of Slice Flip Flops: 1913 out of 9312 20%Number of 4 input LUTs: 2485 out of 9312 26%Number used as logic: 2085Number used as RAMs: 400Number of MULT18X18SIOs: 11 out of 20 55%Number of GCLKs: 1 out of 24 4%Laporan utilisasi ini memberikan informasi bahwa komponen yang tersisapada <strong>FPGA</strong> Spartan-<strong>3E</strong> masih banyak walaupun setelah diberi konfigurasi sistemyang dirancang.86

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!