13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

vi7. Dr. Ir. Tumiran, Ph.D, selaku pribadi, sesepuh dan selaku Dekan FT UniversitasGadjah Mada.8. Dr. Ir. Lukito Edi Nugroho, M.Sc., selaku Ketua Jurusan Teknik Elektro danTeknologi Informasi atas segala kebijakannya.9. Ir. Bambang Sutopo M.Phil., atas ide-idenya dan perkenalan tentang <strong>FPGA</strong> terhadappenulis10. Semua pihak yang ikut membantu terselesaikannya tesis ini, yang tak sempattersebutkan namanya.Semoga Allah SWT memberikan rahmat dan karunia yang berlipat ganda atassegala bimbingan, bantuan dan motivasinya.Penulis menyadari bahwa tesis ini masih banyak kekurangan. Namun demikiansemoga dapat memberikan manfaat yang besar bagi pengembangan ilmu pengetahuandan teknologi.Jogjakarta, 10 Mei 2010PenulisEnas Dhuhri Kusuma

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!