13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

65melakukan persiapan pengambilan sampel data keluaran DCT-1D. Gambar 4.2(d)memperlihatkan proses pengambilan data input. Data input diambil tiap transisiclock naik. Data-data input simulasi dituliskan pada source test bench VHDL.Pada test bench, data input diubah tiap transisi clock turun. Pengeluaran dataoutput ditunjukkan pada Gambar 4.2(e). Data dikeluarkan tiap transisi clock naik.(a)(b)(c)65

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!