13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

98BAB VKESIMPULAN DAN SARAN5.1. KesimpulanBerdasarkan hasil perancangan dan simulasi yang diperoleh sampai saatini, dapat diambil kesimpulan sebagai berikut.1. Perangkat yang dirancang yaitu sistem kompresi citra <strong>JPEG</strong> berbasis<strong>FPGA</strong> berdasarkan hasil simulasi dan pengujian hardware, dapatmelakukan kompresi citra grayscale.2. Berdasarkan perbandingan hasil komputasi dengan Matlab, masingmasingunit komputasi pada sistem yang dirancang mempunyai meansquare error (MSE) sebagai berikut.• MSE keluaran DCT-1D = 0.069219• MSE keluaran DCT-2D = 0.52796• MSE keluaran DCT-2D terkuantisasi = 0.0605523. Implementasi rancangan pada <strong>FPGA</strong> Xilinx Spartan-<strong>3E</strong> menghasilkanutilisasi komponen sebagai berikut.• Slice sebanyak 1421 unit• Look up table sebanyak 2485 unit• Pengali sebanyak 11 unit4. Spesifikasi pewaktuan yang didapat dari rangkaian yang dirancang yaitufrekuensi maksimal sebesar 25.724MHz atau periode minimal clock yangdiperbolehkan sebesar 38.874ns. Pesat data maksimal yang diizinkanadalah sebesar frekuensi maksimal.98

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!