13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

25BAB IIIMETODA PENELITIAN3.1. Bahan PenelitianBahan penelitian terdiri atas :a. <strong>FPGA</strong> Spartan-<strong>3E</strong> starter boardKeping XC3S500E mempunyai 500.000 gerbang dengan jumlah CLB sebesar1164, IOB sebesar 232 pengali sebanyak 20 unit, dan interkoneksi yang dapatdiprogram.b. ARM7 Development BoardSistem komputer berbasis mikrokontroler ARM7 yang digunakan untukmengeluarkan data-data uji ke <strong>FPGA</strong>. Piranti ini dipilih karena memiliki generalpurpose I/O.3.2. Alat PenelitianAlat penelitian terdiri atas :a. Satu set komputer Pentium 4b. Satu perangkat lunak Sistem Operasi Linux.c. Satu perangkat lunak Xilinx ISE 10.13.3. Jalan PenelitianPelaksanaan penelitian dimulai dengan merancang gambaran keseluruhansistem. Piranti kompresi data pada penelitian ini tersusun atas enam modul yangsaling terhubung sesuai Gambar 3.1. Enam modul itu adalah modul DCT-2D,zigzag buffer, quantizer, penyandi entropi, byte stuffer, dan unit pengendali.Masing-masing modul dirancang dan saling dihubungkan menggunakan bahasaVHDL pada perangkat lunak Xilinx ISE.25

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!