13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

84atau pemulihan citra tersebut dibandingkan dengan data sumber yang semula.Hasil perbandingan untuk blok-0 berukuran 8x8 ditampilkan pada Tabel 4.9. Citrayang digunakan untuk analisis ini adalah citra pada Gambar 4.1. BerdasarkanTabel 4.9, selisih pada beberapa data dekompresi dengan citra asli cukup besar.Untuk melihat pengaruhnya terhadap citra, pengamatan harus dilakukan pada citrayang sesungguhnya. Dengan ukuran citra yang besar (lebih dari 40x40), simulatorXilinx ISE sudah mengalami penurunan unjuk kerja, karena itu, pengujian harusdilakukan secara hardware menggunakan <strong>FPGA</strong> yang sudah dikonfigurasi denganrangkaian penyandi <strong>JPEG</strong>.Gambar 4.15 Screenshot teks file hasil simulasi kompresi4.2. Pengujian HardwareSetelah melalui simulasi, sistem dapat diimplementasikan pada <strong>FPGA</strong>.<strong>FPGA</strong> yang digunakan adalah Xilinx Spartan <strong>3E</strong> seri XC3S500E. Hasil sintesisrangkaian dari VHDL oleh Xilinx ISE 10 menghasilkan beberapa output. Outputpertama adalah utilisasi rangkaian dalam <strong>FPGA</strong>. Utilisasi memberi informasijumlah gerbang, slice, dan komponen <strong>FPGA</strong> lainnya. Output kedua adalahinformasi pewaktuan. Informasi ini memberi ketentuan mengenai frekuensimaksimal yang dapat dipakai dan propagation delay terbesar yang didapat.84

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!