13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

37b. Operasi perkalianPengali yang digunakan pada tesis ini adalah pengali internal yang terdapat pada<strong>FPGA</strong>. Sebelum memutuskan untuk memakai pengali internal, perludiperhitungkan jumlah pengali yang dibutuhkan untuk keseluruhan operasikompresi <strong>JPEG</strong>. Letak-letak perkalian ada pada modul-modul berikut.• Modul DCT-1D pertama : 5 perkalian• Modul DCT-1D kedua : 5 perkalian• Modul kuantisasi : 1 perkalian, karena kuantisasi dilakukan secarasekuensial.Berdasarkan uraian tersebut, jumlah pengali yang dibutuhkan ada 11 unit.Sementara, pengali yang terdapat di dalam <strong>FPGA</strong> Spartan <strong>3E</strong> XC3S500E ada 20unit. Oleh karena itu, jumlah pengali yang ada di dalam <strong>FPGA</strong> sudah mencukupiuntuk keseluruhan operasi, sehingga tidak perlu membuat modul pengalitersendiri.Pengali yang terdapat pada algoritma DCT maupun proses kuantisasimerupakan bilangan pecahan. Oleh karena itu untuk menjalakan proses perkalian,bilangan pecahan diubah terlebih dahulu menjadi bilangan integer melaluiperkalian dengan suatu bilangan penskala 2 n . Pada tesis ini, bilangan penskalauntuk komputasi DCT adalah 4096.Bilangan pengali yang digunakan pada algoritma DCT1D Arai adalahsebagai berikut.m1 = cos(4*π/16) = 0.70711m2 = cos(6*π/16) = 0.3826837

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!