13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

st: in std_logic;dc: in std_logic;din: in std_logic_vector(lebar_ot downto 0);dout: out std_logic_vector(lebar_ot downto 0));end dpcm;architecture behav of dpcm iscomponent mux21 isport(a: in std_logic_vector(8 downto 0);b: in std_logic_vector(8 downto 0);sel: in std_logic;y: out std_logic_vector(8 downto 0));end component;signal ctr: std_logic_vector(lebar_ctr downto 0);signal dctemp: std_logic_vector(lebar_ot downto 0);signal mulai: std_logic;signal diff: std_logic_vector(lebar_ot downto 0);beginmuxpcm:mux21 port map(din,diff,dc,dout);diff

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!