13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

29bernilai '1')• Nilai maksimal keluaran untuk pengolah 8 titik adalah 8 x 255 = 2040• Bilangan biner unsigned untuk 2040 adalah 11111111000 yang mempunyailebar 11 bitDari uraian tersebut didapatkan lebar output 11 bit. Secara umum dapatdirumuskan menjadi persamaan (3.1) sebagai berikut:lebar_out = lebar_in + 2 log(jumlah_titik)...................(3.1)xab d e fyGambar 3.2 Signal flow graph algoritma DCT AraiMetode akuisisi data input dan pengeluaran output ini diadopsi darirancangan Sun, dkk(1989) dan ditunjukkan pada Gambar 3.3. Data inputdimasukkan secara sekuensial pada register-register input setiap transisi clocknaik. Karena DCT 1D mengolah 8 titik data, maka dibutuhkan 8 kali siklus clock29

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!