13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

39dilakukan sebagai berikut.• Bilangan hasil kali mempunyai lebar 25 bit. Hasil kali yang diharapkanhanya selebar 11 bit. Dari 25 bit tersebut, diambil bit 12 sampai 22.• Untuk melakukan pembulatan bilangan pada kelompok bit 22 downto 12dijumlahkan dengan bit ke 11.Berikut adalah implementasi teknik tersebut dalam VHDL untuk komputasikeluaran y1.ofset1(10 downto 1)'0');ofset1(0)

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!