13.07.2015 Views

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

KOMPRESI CITRA JPEG BERBASIS FPGA XILINX SPARTAN-3E ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

11A =B =D=E =[0 1 0 0 0 0 1 00 0 0 1 −1 0 0 00 1 0 0 0 0 −1 00 0 1 0 0 1 0 00 0 0 1 1 0 0 00 0 1 0 0 −1 0 00 0 1 0 0 −1 0 01 0 0 0 0 0 0 −1][1 0 0 0 0 1 0 01]0 1 0 0 −1 0 0 00 0 1 0 0 0 1 00 1 0 0 1 0 0 01 0 0 0 0 −1 0 00 0 0 1 0 0 0 10 0 0 1 0 0 1 00 0 0 0 0 0 01][1 0 0 1 0 0 0 01 0 0 −1 0 0 0 00 0 1 0 0 0 0 00 1 0 0 1 0 0 00 0 1 0 0 −1 0 00 0 0 0 1 0 0 00 0 0 0 0 1 0 00 0 0 0 0 0 1 00 0 0 0 0 0 01][1 0 0 0 0 0 0 0 00 1 0 0 0 0 0 0 00 0 m3 0 0 0 0 0 00 0 0 0 0 0 0 m1 00 0 0 0 0 0 m4 0 00 0 0 0 0 1 0 0 00 0 0 m1 0 0 0 0 00 0 0 0 m2 0 0 0 00 0 0 0 0 0 0 011

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!