13.01.2014 Views

Semiconductor Equipment - Berenberg Bank

Semiconductor Equipment - Berenberg Bank

Semiconductor Equipment - Berenberg Bank

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

BERENBERG EQUITY RESEARCH<br />

<strong>Semiconductor</strong><br />

<strong>Equipment</strong><br />

Shrinkage brings growth,<br />

but not for everyone<br />

Tammy Qiu<br />

Analyst<br />

+44 20 3465 2673<br />

tammy.qiu@berenberg.com<br />

Jean Beaubois<br />

Specialist Sales<br />

+44 20 3207 7835<br />

tammy.qiu@berenberg.com<br />

22 July 2013<br />

Technology Hardware


For our disclosures in respect of section 34b of the German Securities Trading Act (Wertpapierhandelsgesetz – WpHG) and<br />

our disclaimer please see the end of this document.<br />

Please note that the use of this research report is subject to the conditions and restrictions set forth in the disclosures and<br />

the disclaimer at the end of this document.


Table of contents<br />

Shrinkage brings growth, but not for everyone 4<br />

Helicopter view of the names 6<br />

Key debates 7<br />

Snapshot on capex trends and vendor exposures 10<br />

How much are chip-makers spending? 12<br />

Where are chip-makers spending? 21<br />

Companies<br />

AMSL: The best positioned player, but priced in 28<br />

AMS International: Front-end business undervalued 53<br />

Suess Microtec: Small, but active in growing markets 74<br />

Applied Materials: Recovery and growth priced in 92<br />

Tokyo Electron: Mainly exposed to legacy markets 117<br />

Disclosures in respect of section 34b of the German Securities<br />

Trading Act (Wertpapierhandelsgesetz – WpHG) 137<br />

Contacts: Investment <strong>Bank</strong>ing 140<br />

3


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Shrinkage brings growth, but not for everyone<br />

● We believe that the semiconductor equipment industry has entered a<br />

recovery cycle from beginning of 2013, after declining by 16% in 2012<br />

due to weak memory spending. ASML, Applied Materials (AMAT),<br />

Tokyo Electron (TEL), ASM International (ASMI) and KLA Tencor<br />

Corp (KLA) are seeing or guiding for order recovery compared with<br />

the 2012 trough level. We expect this order recovery trend to continue<br />

as 1) foundry/logic orders are likely to remain strong, driven by a<br />

28nm capacity expansion and a 20nm/16nm FinFET ramp-up, and 2)<br />

memory orders are expected to pick up from the 2012 level after a<br />

better supply/demand balance since the start of 2013, driven by the<br />

ramp-up of NAND 20nm and smaller nodes, DRAM 30nm and<br />

smaller nodes and 3D NAND.<br />

● Key debates: 1) Will the semiconductor cycles be as cyclical in the<br />

future as previously? 2) Where are we in the cycle now? 3) What will<br />

the impact be of Apple switching/buying into its foundry partners?<br />

1) We believe the semiconductor cycle will remain cyclical, but less<br />

volatile compared with historically, as a) memory capex, which<br />

caused fluctuations previously, is likely to be less volatile as<br />

memory-makers have become more conservative in their<br />

spending, b) logic/foundry capex is likely to remain strong, as<br />

competition intensifies in the consumer devices market.<br />

2) As the industry entered an order recovery cycle at the beginning<br />

of 2013, we expect that we will exit the recovery phase by end-<br />

2013/early 2014, and enter a more muted growth period, as a)<br />

Intel and Samsung’s capex is likely to be spent in H2, which will<br />

drive order growth in 2013 H2, b) foundry/logic capex is likely to<br />

remain at the current level over the next three years until 2016<br />

(TSMC indicated that its 2014 capex will be similar to that in<br />

2013), c) memory capex has recovered since mid-2013. We believe<br />

that memory capex growth in the next three years will not drive<br />

any significant total capex growth, as it will only account for 29%<br />

of total capex, versus 33-57% of total capex in the peak years.<br />

3) We believe that Apple’s foundry switch is likely to provide small<br />

positive upside for some equipment vendors, depending on their<br />

exposure to the various foundry/logic players. The foundry order<br />

switch is likely to create new capacity addition demand, which<br />

could give a short-term boost to overall capex.<br />

● The growth potential of different equipment vendors differs, as they<br />

are each exposed to different fabrication processes. We rank the<br />

vendors’ growth potential (between 2012 to 2017) as follows:<br />

1) ASML – 100% exposure to the lithography market, which is<br />

growing at a CAGR of 18%, driven by demand for ever smaller<br />

chips;<br />

2) ASMI – 60% exposure to the atomic layer deposition (ALD)<br />

market, which is growing at a CAGR of 15%;<br />

3) KLA – 100% exposure to process control market (growing at a<br />

CAGR of 6%), although AMAT may gain market share from it;<br />

4) SUSS – 30% exposure to the micro-electro-mechanical systems<br />

(MEMS) market, which is growing at a CAGR of 12%;<br />

5) LAM – 50% exposure to the silicon etching market (growing at<br />

CAGR 4%);<br />

6) AMAT – a diversified portfolio, but with 61% market exposure to<br />

growth markets and 39% to legacy markets; benefiting from the<br />

capex growth trend;<br />

7) TEL – 60% exposure to legacy markets.<br />

ASML Holding<br />

Hold (initiation)<br />

Current price Price target<br />

EUR67.68 EUR73.00<br />

19/07/2013 Amsterdam Close<br />

ASM International<br />

Buy (initiation)<br />

Current price Price target<br />

EUR27.49 EUR31.00<br />

19/07/2013 Amsterdam Close<br />

Suess Microtec<br />

Buy (initiation)<br />

Current price<br />

EUR7.65<br />

Price target<br />

EUR9.40<br />

19/07/2013 XETRA Close<br />

Applied Materials<br />

Hold (initiation)<br />

Current price<br />

USD16.56<br />

Price target<br />

USD14.40<br />

18/07/2013 New York Close<br />

Tokyo Electron<br />

Sell (initiation)<br />

Current price<br />

JPY4,790<br />

Price target<br />

JPY4,331<br />

19/07/2013 Tokyo Close<br />

Rating system: Absolute<br />

22 July 2013<br />

Tammy Qiu<br />

Analyst<br />

+44 20 3465 2673<br />

tammy.qiu@berenberg.com<br />

Jean Beaubois<br />

Specialist Sales<br />

+44 20 3207 7835<br />

jean.beaubois@berenberg.com<br />

4


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

● In this note, we initiate coverage on ASML with a Hold recommendation (PT<br />

€73) as we believe all positive news is well understood and priced in at the<br />

current price level. We initiate with Buy ratings on ASMI (PT €31), as it has a<br />

44% market share and no direct competitor in the growing ALD market, and<br />

on Suess Microtec (SUSS) (PT €9.4), as we believe the lithography segment can<br />

drive strong growth for SUSS in 2014 and 2015 without a significant<br />

contribution from other segments. We initiate with a Hold rating on AMAT<br />

(PT $14.4) as we can only see it gaining a further 1% in market share. We also<br />

initiate with a Sell rating on TEL (PT ¥4,331), as ~60% of its revenue is<br />

exposed to low-growth markets.<br />

5


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Helicopter view of the names<br />

Figure 1: Market share and market growth potential<br />

High<br />

Market share<br />

Low<br />

Low<br />

High<br />

Addressable market growth<br />

Source: <strong>Berenberg</strong> estimates<br />

Figure 2: Relative share performance<br />

01/01/2008 01/01/2009 01/01/2010 01/01/2011 01/01/2012 01/01/2013<br />

Source: Bloomberg data<br />

AMAT ASMI ASML TEL Suess<br />

Figure 3: Valuation matrix<br />

ROE*<br />

Dividend<br />

yield*<br />

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%<br />

No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%<br />

No.3 SUSS 9.1% ASML 0.9% ASML 4.5% AMAT 16.7%<br />

No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%<br />

No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%<br />

* based on <strong>Berenberg</strong> estimation of 2014 performance<br />

Net cash based on 2012 YE balance<br />

Source: Bloomberg data, company data, <strong>Berenberg</strong> estimates<br />

FCF yield*<br />

Net cash/marcap<br />

6


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Key debates<br />

Will the semiconductor space remain as cyclical as before?<br />

We believe the semiconductor cycle is going to remain cyclical, but less<br />

volatile compared to history. Over the past decade, we have seen cycle peaks in<br />

2000, 2007 and 2011, followed by a capacity digestion period, ie trough cycles in<br />

2002 and 2009, driven by different market trends. Memory was the main driver for<br />

the 2000 and 2008 cycle peak, and logic/foundry drove the peak cycle with<br />

memory in 2011.<br />

Figure 4: <strong>Semiconductor</strong> capex to remain flattish for the next two years<br />

USD mn<br />

80,000<br />

70,000<br />

60,000<br />

50,000<br />

40,000<br />

30,000<br />

20,000<br />

10,000<br />

-<br />

35%<br />

30%<br />

25%<br />

20%<br />

15%<br />

10%<br />

5%<br />

0%<br />

Semi capex $mn Semi equipment revenue $mn Semi capex/semi revenue %<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

The 2000 cycle was driven by 1) strong PC demand, 2) increased DRAM content<br />

per PC, 3) increased capacity for 0.13 micron, 0.18 micron and 300mm.<br />

In 2007, the cycle was driven by 1) Apple’s Nano/Shuffle products and other<br />

portable media players, which led NAND demand, 2) a DRAM demand increase<br />

due to increased adoption of 64-bit processors, Microsoft Vista and the Sony<br />

PlayStation 3 (PS3) launch, 3) an increased mobile phone DRAM demand, and 4)<br />

DRAM, Logic and NAND moving to smaller nodes.<br />

The 2011 cycle was influenced by 1) smartphone/tablet demand offset by PC<br />

demand, 2) leading-edge chip increases (logic: 28nm; NAND: 20nm; DRAM:<br />

30nm) as the consumer electronics market grew.<br />

We believe future cycles will be less volatile: In the future, we believe the<br />

semiconductor capex trend will be less cyclical compared to previous cycles for the<br />

following reasons.<br />

1) Memory-makers become more conservative in their spending: The<br />

previous three peak cycles were driven by memory. Memory-makers have<br />

tended to double their capex in the peak years (ie 2000, 2004-2007, 2010) and<br />

cut capex by half in the following one/two years. Following the 2012 memory<br />

price slump, memory-makers became more cautious and are now ramping up<br />

addition capacity slower than before. Therefore we believe the cycle volatility<br />

caused by memory spending will be significantly reduced.<br />

2) Foundry/logic spending is likely to remain strong: Intel, Samsung<br />

(excluding memory) and Taiwan <strong>Semiconductor</strong> Manufacturing Company<br />

(TSMC) (which together account for 78% total foundry/logic capex) are<br />

heavily exposed to the consumer electronic markets, and the competition in<br />

the market is further intensified by the war between Apple, Samsung,<br />

Qualcomm, Intel and ARM. We believe that these companies have no choice<br />

7


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

but to keep spending to maintain their leadership in the consumer devices<br />

market.<br />

Where are we in the cycle?<br />

We believe we are halfway into the current recovery cycle, and will enter a<br />

more muted growth phase by the end of 2013 or early 2014: ASML, AMAT,<br />

TEL, ASMI, KLA and LAM have all shown improved order intake/shipment<br />

levels from the beginning of 2013, compared to end-2012; hence, we believe we<br />

have entered a recovery cycle from the 2012 trough.<br />

We believe we may exit the recovery phase and enter a more muted growth phase<br />

of the cycle by end-2013/early 2014 for the following reasons.<br />

1) Samsung and Intel’s capex is likely to be spent in H2 and will drive order<br />

growth in H2 2013.<br />

2) TSMC, Samsung, Intel, Globalfoundries and other logic/foundry players<br />

have all budgeted higher/similar capex compared to 2012, and total logic<br />

and foundry capex is currently at a historical high (2013E: $37bn). After<br />

2013, we expect foundry/logic capex to remain at flattish until 2016. In<br />

addition, TSMC has indicated that its 2014 capex will be similar to the<br />

2013 level. We expect the solid order intake level to be maintained after<br />

2013/early 2014, but growth is likely to be muted.<br />

3) Memory spending has already picked up from the 2012 trough level since<br />

mid-2013. ASML, KLA and LAM have all indicated that memory spending<br />

is recovering. We estimate memory capex to grow at 11-15% in the next<br />

four years. However, as it only accounts for 29% of total capex, which is<br />

too small to drive significant capex hikes. Historically, memory spending<br />

used to account for up to 57% of total capex and hence had a greater<br />

impact on overall capex.<br />

Will the Apple/Samsung/TSMC/Globalfoundries shift be a zero<br />

sum game?<br />

In our view, any shift is a small positive for equipment vendors, depending<br />

on which of them Apple is shifting to: Since last year, there has been much<br />

speculation about whether Apple will shift its foundry orders from Samsung to<br />

TSMC/Intel, and whether Apple will buy fabrication plants (fabs) from United<br />

Microelectronics Corporation (UMC) or Globalfoundries. In our opinion, these<br />

shifts can be a small positive on a net basis for some equipment vendors, instead of<br />

simply a zero sum game. The new foundry is likely to build capacity which was not<br />

required previously; at the same time, the old foundry may cut its original spending<br />

level, but it may have already committed some capex as fab-building projects are<br />

multi-year projects.<br />

If Apple moves to TSMC, we believe ASML, AMAT, ASMI, and LAM will all<br />

benefit, as: 1) TSMC’s 20nm is lithography-intensive, and it only buys from ASML;<br />

2) AMAT has a strong relationship with TSMC (although the decrease in the<br />

Samsung order may have a negative impact on AMAT); and 3) TSMC 20nm is high<br />

K metal gate (HKMG)-based, which requires the ALD tool from ASMI.<br />

If Apple chooses Intel for its foundry orders, we believe TEL may benefit, with<br />

ASML also benefiting, but to a lesser extent, as 1) TEL has a close bond with Intel<br />

in relation to its etching tools, 2) AMAT does not have a strong relationship with<br />

Intel, 3) ASML may receive new orders, but it has to share Intel orders with Nikon,<br />

and 4) Intel’s capacity is 100% HKMG-based, which only leaves limited upside for<br />

ASMI.<br />

8


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

If Apple buys Globalfoundries’ fabs, we believe ASML, TEL, ASMI, AMAT and<br />

LAM may all benefit, as 1) leading-edge nodes are lithography-intensive, providing<br />

upside for ASML, 2) TEL is strong at Globalfoundries in etching, 3) LAM has<br />

close relationships with foundries in etching, 3) AMAT has strong links in<br />

deposition with foundries, 4) Globalfoundries’ HKMG adoption rate is currently<br />

quite low, so it may require more ALD tools from ASMI to ramp up capacity as<br />

required.<br />

If Apple buys UMC’s fabs, we believe ASML, ASMI, AMAT and LAM could<br />

benefit as 1) leading-edge nodes are lithography-intensive, and hence are a strong<br />

suit for ASML, 2) LAM benefits from good relationships with foundries in etching,<br />

3) AMAT is strong in deposition with foundries, and 4) UMC may need to ramp<br />

up its HKMG processes, which would benefit ASMI.<br />

9


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Snapshot on capex trends and vendor exposures<br />

In our view, the capex level of the industry is likely to be flattish over the next two<br />

years (5% up in 2014 and 3% up in 2015), and increase by 10% in 2016 due to<br />

450mm migration, driven by strong foundry/logic and memory spending recovery.<br />

<strong>Semiconductor</strong> equipment vendors’ growth potential differs from one vendor to<br />

another, as they are each exposed to different fabrication processes. We believe<br />

ASML and ASMI are likely to benefit from their sales addressable market (SAM)<br />

expansion, while TEL is likely to suffer from its declining SAM.<br />

Capex to remain flattish in 2014/2015<br />

Memory – NAND capex to return to growth and accelerate in 2014/15<br />

NAND capex is likely to return to growth in 2013 (up 6%) and accelerate in<br />

2014/2015 (up 15% and 20% respectively), driven by the high capital requirements<br />

of 20nm/below node, and 3D NAND.<br />

We believe NAND vendors are unlikely to increase capex significantly in the near<br />

future as they did historically in peak years (39-115% growth), as 1) the spending<br />

pattern has become more conservative since the 2012 trough period, 2) Samsung’s<br />

new NAND fab, Micron’s DRAM-NAND conversion, and Toshiba’s capacity<br />

resumption may provide new capacity in next two years, and 3) the low-/mid-end<br />

smartphone/tablet, which is likely to be the driver for the consumer devices<br />

market, requires less NAND content than high-end products.<br />

Memory – DRAM stable 5% growth in 2013/2014<br />

DRAM capex growth is likely to be stable and grow at 5% in 2013 and 2014,<br />

enabling a limited expansion of 30nm/20nm capacity.<br />

We believe DRAM capex will not increase significantly as: 1) capacity expansion<br />

demand is low (as per our conversations with industry sources, current capacity is<br />

sufficient to support annual bit growth of about 30%); 2) mid-/low-end consumer<br />

devices require less DRAM content per box; and 3) smartphone/tablet DRAM<br />

demand is not sufficient to offset the decline in PC unit growth as the content per<br />

box is less (0.6GB versus PCs at 4.2GB).<br />

Foundry/logic players remain solid, contributing 60% of total capex<br />

Foundry/logic players are heavily exposed to the consumer electronic markets, as<br />

Samsung and Intel manufacture their own chips for their smartphones, tablets and<br />

Ultrabooks, Apple contracts the Samsung foundry and TSMC for its chip<br />

manufacturing requirements and fabless players such as Qualcomm, Mediatek and<br />

Nvidia contract foundries like TSMC, Globalfoundries and UMC for their chip<br />

manufacturing.<br />

As a result of the increasing competition within the consumer electronics market,<br />

the chip-makers/device vendors require the most advanced chips in order to<br />

differentiate their end-products. The foundry/logic players are therefore under<br />

pressure to maintain their technology leadership, and all the major players are<br />

ramping up 20nm in 2013, to be followed by 16/14nm in 2014. We thus believe<br />

foundry/logic spending will remain solid, and will contribute about 60% of<br />

semiconductor capex.<br />

Growth potential differs as market exposure differs<br />

<strong>Semiconductor</strong> equipment vendors’ growth potential differs from one to another,<br />

as each vendor is exposed to different fabrication processes.<br />

We believe the lithography, ALD, epitaxy deposition, process control and 3D<br />

packaging markets have considerable growth potential, driven by node shrinking<br />

and 3D wafer stacking demand, hence ASML, ASMI, KLA and SUSS are likely to<br />

outperform other equipment suppliers. We believe TEL will suffer from its high<br />

10


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

exposure to legacy markets such as dielectric etching.<br />

In the table below, we rank the market growth potential of the various markets,<br />

and relevant exposure of each of the key vendors. The vendors with high<br />

exposures to the growing markets are likely to outperform.<br />

Figure 5: Ranking by growth potential<br />

Lithography 18.0%<br />

Deposition - ALD<br />

3D bonding<br />

CAGR<br />

Comment<br />

<strong>Equipment</strong> Vendor's Main market exposure (market share 2012)<br />

2012-2017 ASML ASMI AMAT TEL SUSS KLA LAM<br />

15.0%<br />

14.0%<br />

Deposition - Epitaxy 7.5%<br />

Printing smaller chips requires<br />

leading edge lithography tools<br />

Demand increase as HKMG is<br />

required for 20nm and smaller<br />

node.<br />

Revenue may triple once volume<br />

adoption starts in 2016/17<br />

Extensively used for new chip<br />

designs, such as FinFET, 3D NAND<br />

100%<br />

(74% share)<br />

60%<br />

(44% share)<br />

11%<br />

(89%<br />

share)<br />

6%<br />

(44% share)<br />

13%<br />

(no.1 share)<br />

Process control 6.0%<br />

Demand increases as chip design<br />

gets more complex<br />

9%<br />

(76% share)<br />

100%<br />

(54% share)<br />

Deposition - PE CVD 5.0% Demand driven by 3D NAND<br />

Etching - Silicon 4.0% Demand driven by FinFET, 20nm<br />

19%<br />

(47% share)<br />

8% 8%<br />

(14% share) (9% share)<br />

50%<br />

(59% share)<br />

Photoresist processing 1.4%<br />

Demand decrease as legacy<br />

lithography tool demand declines,<br />

hence total lithography tool<br />

shipment decreases.<br />

34%<br />

(89% share)<br />

Deposition - PVD 0.5% Grow slower than PE CVD<br />

Etching - Dielectric 0.5%<br />

Ion Implanter<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

Demand shifts to silicon etching as<br />

new chip designs like FinFET,<br />

20nm.<br />

-2.5% HKMG require less implant steps<br />

21%<br />

(78% share)<br />

11%<br />

(76% share)<br />

20%<br />

(63% share)<br />

11


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

How much are chip-makers spending?<br />

<strong>Semiconductor</strong> equipment vendors supply equipment used in the chipmanufacturing<br />

process. Historically, semiconductor equipment industry revenues<br />

are closely correlated to semiconductor capex levels, which are determined by the<br />

capital intensity of the semiconductor industry (see Figure 6).<br />

Figure 6: Semi equipment revenue versus semi capex<br />

USD mn<br />

80,000<br />

70,000<br />

60,000<br />

50,000<br />

40,000<br />

30,000<br />

20,000<br />

10,000<br />

-<br />

35%<br />

30%<br />

25%<br />

20%<br />

15%<br />

10%<br />

5%<br />

0%<br />

Semi capex $mn Semi equipment revenue $mn Semi capex/semi revenue %<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

As shown in Figure 7, foundry and logic, memory and integrated device<br />

manufacturer (IDM) players are the three main groups of capex spenders within<br />

the sector, although the capex mix varies over time. IDMs were the main spenders<br />

in the early 2000s, replaced by memory chip manufacturers in 2004/2005 following<br />

the high memory demand from Apple (for its Nano/Shuffle products), Microsoft<br />

(Vista), Sony (PS3) and mobile handset manufacturers. Foundry and logic chipmakers<br />

became the biggest spenders in 2011 due to the ramp-up of fabless players<br />

and greater outsourcing from IDMs. Over the next few years, we expect semi<br />

capex to comprise: 1) strong foundry and logic spending driven by consumer<br />

electronics growth; 2) a normalisation in memory spending; and 3) shrinking IDM<br />

spending as increased capital requirements push IDMs to use more foundry<br />

services instead of in-house manufacturing.<br />

Figure 7: Three main semi capex spenders<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E<br />

Foundry+logic IDM Memory (NAND+DRAM)<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

12


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

The amount of capex from these three types of chip-makers will depend on their<br />

end-market demand and technology roadmap. We estimate the aggregate capex will<br />

remain flattish between 2013 and 2015. We expect 450mm migration-related capex<br />

to start increasing in 2016 from logic/foundry chip-makers, which will lead a 10%<br />

growth in overall capex (see Figure 8).<br />

Figure 8: Total capex to be flat in 2014/2015 and boosted in 2016 by 450mm spending<br />

$mn<br />

80,000<br />

70,000<br />

60,000<br />

50,000<br />

40,000<br />

30,000<br />

20,000<br />

10,000<br />

0<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

Memory – NAND spending will normalise from now<br />

NAND capex grew aggressively from 2000 to 2007, outgrowing total<br />

semiconductor capex over the period. During this time, NAND bit shipments grew<br />

by c190%, fuelled by the increase of portable applications, such as digital cameras<br />

and personal digit assistants in 2000, followed by growth of Apple’s Nano/Shuffle<br />

products after launch in 2003. Since this period of rapid expansion, the NAND<br />

spending cycle tended to follow the spending cycle of total semiconductor capex<br />

(see Figure 9).<br />

Figure 9: NAND capex versus total semi capex growth<br />

% growth<br />

200%<br />

150%<br />

100%<br />

50%<br />

0%<br />

-50%<br />

1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E<br />

Foundry&logic IDM Memory<br />

-100%<br />

2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012<br />

NAND Capex<br />

Total Capex<br />

Source: Gartner data<br />

From the second half of 2011, the NAND industry struggled due to oversupply<br />

after its significant expansion phase; this was largely the result of softer demand in<br />

USB storage, fewer bundled cards with smartphones and channel inventory<br />

clearing. As a result, the NAND price fell by 42% in 2012 and 33% in 2011. In July<br />

2012, Toshiba cut its utilisation rate by 30% (6-8% aggregate NAND capacity), and<br />

indeed all NAND vendors have become more conservative in adding new capacity.<br />

13


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Toshiba and Micron are ramping up capacity slower than before, Samsung<br />

switched its NAND line 14 to LSI and has been converting its NAND production<br />

capacity in Austin, Texas since Q2 2012 to LSI (logic), and SK Hynix readjusted<br />

Fab M12’s capacity to produce both DRAM and NAND instead of being a<br />

dedicated NAND fab.<br />

Figure 10: NAND demand/supply<br />

Mns of 1GB Eqv 2010 2011 2012 2013E 2014E<br />

Demand/Supply<br />

NAND demand 11,295 20,195 32,131 45,872 62,992<br />

Growth 65.7% 78.8% 59.1% 42.8% 37.3%<br />

NAND supply 11,247 20,004 31,946 44,318 62,966<br />

Growth 72.5% 77.9% 62.1% 38.7% 42.1%<br />

ASP ($) 1.86 1.24 0.74 0.62 0.48<br />

Sufficiency 99.6% 99.1% 100.9% 96.7% 100.0%<br />

By Application<br />

Tablets 4.5% 8.5% 7.3% 5.3% 6.1%<br />

PC 0.2% 0.2% 0.6% 1.3% 2.0%<br />

Server 0.1% 0.1% 0.1% 0.1% 0.1%<br />

Feature phone & sm 20.2% 22.3% 22.2% 21.5% 19.2%<br />

SSD 6.7% 12.8% 19.4% 29.2% 35.0%<br />

Data Card 46.5% 38.5% 32.9% 26.2% 23.6%<br />

Other 21.8% 17.6% 17.4% 16.4% 14.0%<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

We expect NAND bit shipments to grow at a modest level (40-50%) over the next<br />

three years (see Figure 10 above), mainly driven by demand for Solid State Drive<br />

(SSD) and, to a lesser extent, smartphones and tablets. The main portion of bit<br />

growth (40%) will come from node shrinking (more chips can be made from the<br />

same wafer as chip size shrinks); therefore, wafer capacity addition is likely to grow<br />

at a very low level.<br />

Figure 11: NAND content/box<br />

(GB Eqv) 2010 2011 2012 2013E 2014E 2015E 2016E<br />

Tablet 27.2 25.4 15.7 11.6 14.8 17.7 21.5<br />

Growth 100% -7% -38% -26% 28% 19% 22%<br />

Smartphone & Feature phones 1.4 2.5 3.9 5.1 6.3 8.8 12.6<br />

Growth 70% 73% 61% 30% 23% 39% 43%<br />

Solid State Drives (SSD) 77.3 98.8 148.6 170.4 190.9 223.1 265.0<br />

Growth 35% 28% 50% 15% 12% 17% 19%<br />

Data Cards 1.1 1.4 1.8 2.0 2.5 1.7 1.9<br />

Growth 27% 34% 22% 15% 22% -32% 13%<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

We believe NAND vendors are unlikely to invest heavily in capacity additions in<br />

the near future because: 1) Samsung’s new mega NAND fab and Micron’s DRAM<br />

to NAND conversion will add new capacity in the next two years; 2) we estimate<br />

that the growth of smartphones and tablets will be driven by mid-/low-end<br />

products with low NAND content per box.<br />

14


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Figure 12: NAND shrinkage roadmap<br />

NAND H1 2010 H2 2010 H1 2011 H2 2011 H1 2012 H2 2012 2013E 2014E 2015E<br />

Samsung<br />

Toshiba/SanDisk<br />

Micron(Elpida)<br />

-3xnm/4xnm<br />

-3xnm/4xnm<br />

-27nm<br />

-24nm<br />

-21nm<br />

SK Hynix -3xnm/4xnm<br />

-26nm -20nm<br />

-19nm started 2012 end,<br />

- 21nm/19nm<br />

-16nm start in H2<br />

-25nm -20nm started in 2012 H1,<br />

-50% capacity on<br />

-19nm started in 2012 end,<br />

-19nm as main capacity<br />

-1Y(18nm-15nm) start in 2013<br />

-16nm start in 2013 end<br />

-16nm expansion<br />

-21/19/16nm<br />

-Start 3D NAND<br />

-16nm expansion<br />

-16nm start in 2013 end,<br />

-20nm/16nm<br />

-mainly ship 20nm,<br />

- start 16nm in Q3<br />

-1znm (12-14nm),<br />

-1Y nm/1xnm<br />

-3D NAND.<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

Most vendors are currently building NAND at 19nm/20nm node, and are planning<br />

to start 16nm node at the end of the year (see Figure 12 above). We believe 16nm<br />

will mature in 2014, and vendors will enter 1Znm (12nm-14nm) and 3D NAND in<br />

2014/2015.<br />

Figure 13: NAND capex/revenue versus bit growth trend<br />

250%<br />

NAND<br />

200%<br />

150%<br />

100%<br />

50%<br />

0%<br />

-50%<br />

-100%<br />

2003 2004 2005 2006 2007 2008 2009 2010 2011 2012<br />

Source: Gartner data<br />

NAND capex/rev<br />

Bit growth<br />

Historically, NAND bit growth was highly correlated to NAND capex/sales, as<br />

shown in Figure 13. We believe the capex/revenue ratio is likely to be around 30-<br />

40% for the next few years, as the NAND bit shipment growth rate is slowing<br />

down to 40-50% from its historical level of 80-200%.<br />

We estimate NAND capex will grow by 20% in 2014/2015 after 6% growth in<br />

2013; reflecting the high amount of capital investment required to ramp up<br />

production of 1Y/1Znm in 2014/2015 and prepare for 3D NAND.<br />

Memory – DRAM spending pattern structurally changed<br />

Historically, DRAM capex has shown a bigger fluctuation versus total<br />

semiconductor capex during different spending cycles (2000, 2005-2007, 2008-<br />

2009) (see Figure 14). From the 1980s to 2007, the growth of the DRAM market<br />

was linked to the success of the PC and gaming industries. The capex peak in 2000<br />

was driven by strong PC demand following the Pentium 4 launch and increased<br />

DRAM content per PC. The 2004 peak was driven by the increased adoption of<br />

dual-cores, 64-bit processors and PS3 demand. From 2007 to 2011, the rise of<br />

smartphones and tablets drove DRAM market growth, although this was offset by<br />

the weak performance of the PC market.<br />

15


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Figure 14: DRAM capex versus total semi capex growth<br />

% growth<br />

200%<br />

150%<br />

100%<br />

50%<br />

0%<br />

-50%<br />

-100%<br />

1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012<br />

DRAM Capex<br />

Total Capex<br />

Source: Gartner data<br />

Following the capacity digestion period of 2011-2012 and the 9% capacity cut in<br />

2012, independent researcher Gartner forecasts that the DRAM market will enter<br />

an over-demand phase in late 2013 at the earliest (see Figure 15). The growth of<br />

DRAM demand will be driven by smartphone and tablet unit shipments, and<br />

content per box growth.<br />

From now on, DRAM bit shipment is likely to grow at a moderate rate of<br />

30% rather than its historical level of 70%: 1) We believe the growth of the<br />

smartphone and tablet market will be driven by mid-/low-end products with low<br />

content per box (see Figure 16); 2) the demand uptick from smartphone and tablet<br />

shipment is not sufficient to offset the decline in PC unit growth because<br />

smartphones and tablets have a much lower content per box compared with PCs;<br />

and 3) content per box growth is slowing down.<br />

Figure 15: DRAM demand/supply<br />

Mns of 1GB Eqv 2010 2011 2012 2013E 2014E<br />

Demand/Supply<br />

DRAM demand 1,912 2,842 3,747 4,756 6,214<br />

Growth 43.8% 48.6% 31.9% 26.9% 30.7%<br />

DRAM supply 1,962 2,925 3,830 4,613 5,886<br />

Growth 44% 49.0% 31.0% 20.5% 27.6%<br />

ASP ($) 2.60 1.30 0.86 0.72 0.54<br />

Sufficiency 102.6% 102.9% 102.2% 97.0% 94.7%<br />

By Application<br />

PC 51.6% 44.3% 39.0% 48.8% 45.1%<br />

Tablets 0.2% 1.1% 2.4% 6.1% 8.1%<br />

Smartphones 3.3% 6.1% 12.3% 18.2% 21.7%<br />

Feature phones 2.4% 2.3% 1.8% 1.1% 0.8%<br />

Server 8.4% 11.8% 11.8% 13.9% 13.6%<br />

Consumer 7.7% 5.2% 4.0% 5.3% 4.8%<br />

Other 26.4% 29.1% 28.7% 6.6% 6.1%<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

16


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Figure 16: DRAM content/box<br />

2010 2011 2012 2013E 2014E 2015E 2016E<br />

PC 2.8 3.5 4.2 4.6 5.0 5.7 6.4<br />

Growth 15% 25% 21% 10% 9% 13% 13%<br />

Tablet 0.3 0.5 0.6 0.9 1.5 2.2 2.7<br />

Growth - 81% 25% 60% 62% 43% 26%<br />

Smartphone 0.2 0.4 0.6 0.8 1.1 1.5 1.9<br />

Growth 19% 74% 72% 30% 33% 38% 29%<br />

Featrue phone 0.3 0.5 0.6 0.9 1.5 2.2 2.7<br />

Growth 1% 81% 25% 60% 62% 43% 26%<br />

Server 18.0 35.2 45.0 53.2 57.7 77.6 111.8<br />

Growth 43% 96% 28% 18% 8% 34% 44%<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

In previous years, there has been DRAM capex investment in both capacity<br />

expansion and technology migration. As per our conversations with various<br />

industry sources, the current level of DRAM capacity in place is sufficient to<br />

support annual bit growth of about 30% for the next five years. This level will,<br />

therefore, be sufficient to meet our demand forecast (30% growth rate) (see Figure<br />

15). Micron has even indicated that wafer capacity will decline in 2013 and 2014.<br />

Therefore, we are only going to see capex investment on shrinkage, instead of<br />

additional capacity building, apart from the remaining part of SK Hynix’s fab M12<br />

expansion, which is likely to be very little.<br />

Figure 17: DRAM shrinking roadmap<br />

DRAM<br />

Samsung<br />

Micron<br />

H1 2010 H2 2010 H1 2011 H2 2011 H1 2012 H2 2012 2013E 2014E 2015E<br />

- 40nm started H1 2010 -Started 28nm Q4 2011,<br />

-40nm/35nm/28nm.<br />

-32nm stated 2012 Q1,<br />

-50nm<br />

-42nm &32nm<br />

-25nm started<br />

2012 end,<br />

-23nm start in 2013<br />

- expand 2Y(23nm/25nm)/28nm<br />

-start and expand 24nm in 2013<br />

end/2014,<br />

-32nm &24nm , skip 28nm.<br />

-2Ynm & 2Znm<br />

(20nm/21nm).<br />

- 24nm/2Znm<br />

Elpida<br />

SK Hynix<br />

-44nm<br />

-42nm<br />

-38nm started Q1 2011,<br />

-40nm/38nm<br />

-32nm started Q1 2012,<br />

-42nm & 32nm.<br />

-plan to ramp up 25nm,<br />

- expand 30nm/2xnm.<br />

-3xnm account for 70%,<br />

-28nm mass production started 2012 end,<br />

-23nm start in 2013<br />

- expand 28nm/23nm<br />

-23nm & 2Znm<br />

(20nm/21nm).<br />

Others<br />

-45nm/42nm/30nm<br />

-30nm&2xnm start and expand<br />

-2Xnm/2Znm<br />

Source: Company data<br />

In 2011 and 2012, all DRAM suppliers actively migrated down from the<br />

50nm/40nm node to the 30nm/20nm node (see Figure 17). Process node<br />

migration has slowed down after the accelerated period following the industry’s<br />

adoption of ArF immersion stepper in 2009/10. Today, physical barriers and the<br />

delay of the introduction of extreme ultraviolet (EUV) lithography have raised<br />

technical hurdles for DRAM-makers, compared with the industry’s previous<br />

migration from 5Xnm to 3Xnm.<br />

All three of the main DRAM suppliers plan to expand their 20nm capacity over the<br />

next three years to 2016. Various DRAM vendors have told us that the node size<br />

for DRAM could fall to 12nm/8nm after the 20nm generation. We therefore<br />

estimate shrinkage-related capex will remain at a similar level for DRAM-makers<br />

from 2014 to 2016.<br />

17


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Figure 18: DRAM capex/revenue versus bit growth trend<br />

DRAM<br />

100%<br />

80%<br />

60%<br />

40%<br />

20%<br />

0%<br />

2003 2004 2005 2006 2007 2008 2009 2010 2011 2012<br />

DRAM Capex/revenue<br />

Bit growth<br />

Source: Gartner data<br />

Historically, DRAM capital intensity was positively correlated to bit growth (see<br />

Figure 18). As discussed above, we expect DRAM bit growth to grow at a<br />

moderate 30% rate compared with its historical level of 70%. The spending pattern<br />

(capex/sales) of the DRAM industry is therefore likely to undergo structural<br />

change from the previous 40-60% level to 19-25%.<br />

We estimate that DRAM capex will remain flat or slightly down in 2013 even if the<br />

ASP improves, as DRAM-makers are likely to spend conservatively for the time<br />

being. We may start to see a small capex uptick in 2014/2015 because: 1) DRAMmakers<br />

may begin to spend more as they see sustainable profitability; and 2) most<br />

DRAM-makers plan to start or expand their 2Ynm (25/24/23nm) output in 2014,<br />

followed by 2Znm production in 2015. We could even see substantially higher<br />

capex growth if DRAM-makers start to upgrade current fab to facilitate EUV tools<br />

in 2014/2015, as the EUV tools are much bigger and heavier than previous<br />

lithography tools.<br />

Foundry and logic – the main spenders keep spending<br />

Foundry and logic capex grew at c22% from 2007-2012, versus total capex which<br />

was down by c1% during the same period. The strong capex growth was linked to<br />

the consumer electronics boom that began in 2009/2010.<br />

We expect the consumer electronic market to maintain solid growth over the<br />

next few years, driven by the intensified competition between vendors and form<br />

factor innovations. Logic players such as Intel and Samsung, are exposed to the<br />

trend because they manufacture the chips they use in own devices, while TSMC,<br />

the Samsung foundry, Globalfoundries and other foundries are exposed because<br />

they receive manufacturing orders from fabless players such as Apple, Qualcomm,<br />

Nvidia, Broadcom and Mediatek (see Figures 19 and 20).<br />

18


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Figure 19: Foundry revenue components<br />

$mn<br />

30,000<br />

Foundry revenue by customer type<br />

25,000<br />

20,000<br />

15,000<br />

10,000<br />

5,000<br />

-<br />

2007 2008 2009 2010 2011 2012<br />

Fabless IDM Other<br />

Source: Gartner data<br />

Figure 20: Foundry grow with fabless<br />

Foundry revenue growth<br />

50.0%<br />

40.0%<br />

30.0%<br />

20.0%<br />

10.0%<br />

0.0%<br />

-10.0%<br />

-20.0%<br />

2007 2,008 2,009 2,010 2,011 2,012<br />

Fabless<br />

Total Foundry revenue<br />

Source: Gartner data<br />

Apple, Samsung, Intel and other device vendors have been competing for market<br />

share by delivering end-products with higher specifications, lower power<br />

consumption, smaller form factors and lower price points. These ultimately require<br />

the use of chips which are manufactured with leading edge technology. As a result,<br />

Intel, Samsung, TSMC, Globalfoundries and others will be under pressure to<br />

maintain their current spending to adhere to their aggressive shrinkage roadmap.<br />

Due to the greater capital intensity required to develop leading-edge technology, we<br />

believe that there will be fewer players that can afford, and are willing, to invest in<br />

such advances. We estimate that 90% of foundry and logic capex will come from<br />

Samsung, TSMC, Intel and Globalfoundries in 2016, compared with 66% in 2007<br />

and 50% in 1999 (see Figure 21). Due to their large exposure to the consumer<br />

electronics market, and the intensified competition within that market, we believe<br />

these companies have no choice but to keep spending.<br />

19


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Figure 21: Samsung, Intel, TSMC and Globalfoundries capex versus total capex<br />

$mn<br />

45,000<br />

40,000<br />

35,000<br />

30,000<br />

25,000<br />

20,000<br />

15,000<br />

10,000<br />

5,000<br />

0<br />

1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E2014E2015E2016E<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

Samsung, Intel, TSMC, GF Capex<br />

% of total semi capex<br />

Figure 22: Foundry/logic shrinking roadmap<br />

Foundry/Logic<br />

H1 2010 H2 2010 H1 2011 H2 2011 H1 2012 H2 2012 2013E 2014E 2015E<br />

TSMC<br />

-40/65nm contribute 53% revenue in 2010,<br />

-28nm started Q3 2011, 22% Q4 2012 rev<br />

-28nm good yield in HKMG & Poly SiON at 2012 end,<br />

-expand 28nm,<br />

-28nm mature,<br />

-20nm & 16nm FinFET risk - 20nm/16nm start<br />

production,<br />

volume production,<br />

-20nm/16nm,<br />

-10nm FInFET R&D.<br />

Samsung<br />

UMC<br />

GF<br />

Intel<br />

-40nm<br />

-65nm&finer eqv 35% rev, - 40nm eqv 10% above rev in Q4<br />

-40nm eqv low single digit. 2011,<br />

- 45nm,<br />

-started 32nm at 2010 end.<br />

-32nm<br />

-32nm HKMG started in 2011,<br />

-45/32nm supply constrain,<br />

-32nm yileld improved,<br />

-45nm/32nm<br />

-22nm 3D, main node in 2013<br />

-start 14nm end of 2013<br />

-started 28nm started with good yield<br />

in 2012 end,<br />

-28nm both HKMG & Poly SiON<br />

-28nm start, contribute low<br />

-28nm&20nm,<br />

-40nm contribute 15% revenue by 2012 esingle digit % by YE,<br />

-14nm tape out.<br />

mainly Poly SiON<br />

-28nm started and<br />

good yield at year<br />

end,<br />

-20nm<br />

-start 14nmFinFET in 2013<br />

-20nm,<br />

-develop 14nm XM<br />

-14nmXM<br />

-14nm 3D<br />

-20nm/14nm<br />

-10nm FInFET R&D<br />

-14nm FinFET risk<br />

production start<br />

-20nm/14nm,<br />

-10nm FInFET R&D.<br />

-10nm 3D,<br />

-next 7nm and 5nm<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

The roadmap in Figure 22 above shows that all major foundry and logic players<br />

plan to start production of 16/10nm in 2014/2015 after 20nm in 2013. From a<br />

technology perspective, 20nm node and beyond are much more capital-intensive<br />

than 28nm node due to the double/multiple patterning or the EUV required in<br />

their manufacturing process.<br />

Considering that Intel has historically re-used 80% of its tools for the next<br />

technology node, and Samsung/TSMC could follow the same route as shrinkage<br />

becomes more expensive, we forecast c3% growth in capex from 2013 to 2015. We<br />

also expect 450mm investment to start taking place in 2016 with an 18% uptick in<br />

capex.<br />

20


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Where are chip-makers spending?<br />

The semiconductor equipment vendors usually specialise in tools used in either one<br />

or more chip fabrication steps. In Figure 23, we lay out the exposures of different<br />

equipment suppliers within the chip fabrication process, and their relative market<br />

share in wafer fab equipment capex. The total wafer fab equipment capex was<br />

$38bn in 2012 – 81% of total capex.<br />

Figure 23: Chip fabrication process<br />

Silicon ingots up to 300mm in diameter<br />

Ingots sliced into wafer and polished<br />

ShinEtsu, Sumco, Siltronic<br />

Deposition: $6bn market (15.7% total semi equipment)<br />

AMAT 47%, TEL 12%, LAM 11%, ASMI 5%<br />

Grows, coats or transfer a material onto wafer, known as thin film deposition. Eg:<br />

SiO 2 which is widely used. (the yellow layer in pic) Performed by CVD/Pasma/PVD<br />

treatment systems.<br />

Si Wafer<br />

Photoresist processing - Coating:<br />

$1.6bn market (4.2%)<br />

TEL 89%, Dainippon 7%, Suss 1%<br />

Wafer is coated with chemical photoresist, thus pattern on<br />

photomask transferred to wafer surface after exposure.<br />

Photoresist:<br />

JSR, TOK,RHEM,ShinEtsu<br />

Chemical photoresist.<br />

SiO 2<br />

SiO 2<br />

Photoresist<br />

Lightsource:<br />

Cymer, Gigaphoton, Ushio<br />

Si Wafer<br />

Lithography:<br />

$6.5bn market (17.2%)<br />

ASML 74%, Nikon 17%, Canon 2%<br />

Wafer coated with photoresist exposed by<br />

litho tool (machine focuses, aligns, and<br />

moves the mask).<br />

Photoresist processing - Development:<br />

$1.6bn market (4.2%)<br />

TEL 89%, Dainippon 7%, Suss 1%<br />

Exposed region of wafer washed away by chemical, areas of with and without<br />

photoresist produce the pattern from mask. Performed by Coater/developers.<br />

Etching:<br />

$7.5bn market (incl clean, Planarization), (19.5%)<br />

LAM 29%. TEL 22%, Dainippon 18%, AMAT 12%<br />

The thin film layer from ‘Deposition’ not covered by photoresist is etched away, to<br />

permanently transfer pattern to wafer surface. Performed by Etch systems.<br />

Doping:<br />

$1.0bn market (2.7%) AMAT 76%, SEN 10%,<br />

Introduces atoms of elements into silicon to alter the electrical properties in the<br />

silicon dioxide free areas, forming transistors.<br />

Photoresist stripping:<br />

$0.2bn market (0.1%) Mattson 20%, PSK 19%, LAM 17%<br />

Remaining photoresist is removed from etched wafer.<br />

Part of lithography tools.<br />

Photomask:<br />

DNP, Toppanm<br />

To create 1-1 correspondence on<br />

wafer through litho.<br />

Develop<br />

Etching<br />

Doping<br />

DP:<br />

The steps from PR coating to PR stripping repeats to<br />

scale IC further scale to 20nm and beyond:<br />

10-100 layers will be constructed on single<br />

wafer<br />

Wafer level Testing<br />

Market Size $0.2bn, (0.1%) TEL 39%, Seimitsu 48%<br />

Performed before on wafer by wafer probe.<br />

Chips Packing:<br />

Market Size $3.9bn, (10.1%)<br />

Kulicke 19%, ASMP 16%<br />

Wafer is sliced to Dies, and packaged to become chips<br />

Wafer level Packing:<br />

Market Size $1.4bn, (3.0%)<br />

Mattson 20%, EV 6%, Ultratech 5%, Suss 4%<br />

Packaging an IC at wafer level before dicing.<br />

Source: Nikon, <strong>Berenberg</strong> data, Gartner data<br />

21


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

We believe the lithography, process control, and wafer level packaging markets<br />

have the biggest growth potential, driven by node shrinkage and 3D packaging<br />

demand, hence ASML, KLA and SUSS are likely to outperform other equipment<br />

suppliers. We do not like the etching, deposition and die level packaging markets<br />

because their growth potential is limited as a result of technology migration.<br />

Figure 24: Capex on fabrication steps/total semiconductor capex<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

2009 2010 2011 2012 2016E<br />

Deposition Photoresist Processing Lithography<br />

Etch, Clean and Planarization Doping Automation<br />

Process Control Wafer-Level Packaging Die-Level Packaging<br />

Test <strong>Equipment</strong><br />

Source: Gartner data<br />

Figure 24 shows that deposition, lithography and etching and cleaning are the only<br />

three process categories that individually account for more than 10% of total<br />

semiconductor capex. The combined capex for these three categories was 34% of<br />

total semiconductor capex in 2012. We expect the combined share of capex to<br />

expand to 38% in 2016, driven mainly by lithography growth. Wafer level<br />

packaging, due to its small base, has since 2003 seen the fastest growth at c10% per<br />

annum, compared with c2.6% for total semiconductor capex.<br />

Lithography – expanding market and ASML as monopolist<br />

During the past decade, the lithography market has grown by c1.7% per year, while<br />

total semiconductor capex has grown by 2.6% annually. Looking ahead to 2016, we<br />

estimate that the lithography market will grow at c20%, four times faster than total<br />

semiconductor capex. At a result, we estimate that lithography’s share of<br />

semiconductor capex will expand to 20% in 2016 from 12% today.<br />

As the chip-makers shrink from the 28nm mainstream node today to sub-10nm<br />

over the next three to four years, the demand for lithography is likely to increase<br />

significantly. According to TSMC, Intel and Samsung’s roadmaps, we believe 20nm<br />

will be the mainstream node for logic and foundry in 2013/2014, which is likely to<br />

be manufactured using the double patterning (DB) process. As a result, demand for<br />

the most advanced argon-fluoride (ArFi) tools will double, and methodology tools<br />

will also be needed to maintain an acceptable yield rate. Beyond 20nm, chip-makers<br />

may adopt EUV or triple/quadruple patterning using ArFi tools, but in both cases<br />

the lithography cost will increase significantly. The reason for this is that EUV may<br />

cost €100m each versus ArFi costs of €40m, and triple/quadruple patterning may<br />

need three to four times as many ArFi tools compared with single-patterning,<br />

which is currently used in 28nm.<br />

22


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Figure 25: Lithography market share<br />

Nikon<br />

15%<br />

ASML<br />

74%<br />

NuFlare<br />

Technology<br />

7%<br />

Canon<br />

3%<br />

JEOL<br />

1%<br />

Source: Gartner data<br />

The market has a limited number of players because of the complexity of<br />

lithography technology and the investment required to develop leading-edge<br />

equipment (see Figure 25). We believe ASML’s market share will expand after the<br />

EUV ramp-up. Nikon will lose market share to ASML because Intel, Nikon’s<br />

biggest customer, has committed to ASML’s EUV technology by joining the<br />

customer investment programme. We believe Nikon will not be a threat to ASML<br />

in the future because the specification of its tools lags ASML’s, and it does not<br />

have a credible EUV roadmap yet.<br />

Deposition – flat growth, DP and EUV impact limited, benefit from 3D<br />

NAND<br />

During the past decade, the deposition market has declined by c1.7% compared<br />

with the c2.6% growth in total semiconductor capex. Its share of total<br />

semiconductor capex fell from 12% in 2004 to 10% in 2012. We expect the<br />

deposition market to grow by c4% from 2012 to 2016, which is on par with total<br />

semiconductor capex growth, and remains at 10-11% of total semiconductor<br />

capex. Unlike etching, we do not expect the demand for deposition to rise with the<br />

adoption of double patterning and FinFET designs. It may benefit from the rampup<br />

of 3D NAND in 2015/2016.<br />

Among the different deposition solutions, plasma-enhanced chemical vapour<br />

deposition (PE CVD), ALD and epitaxy are likely to outgrow the deposition<br />

market due to the increasing number of interconnecting layers within advanced<br />

chips, HKMG adoption, and the ramp-up of 3D designs. At the same time, low<br />

pressure chemical vapour deposition (LP CVD) and physical vapour deposition<br />

PVD) are likely to underperform the deposition market (see Figure 26).<br />

23


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Figure 26: Deposition solutions: PE CVD/ALD/epitaxy to<br />

outperform<br />

Deposition market by Solution<br />

30%<br />

25%<br />

20%<br />

15%<br />

10%<br />

5%<br />

0%<br />

2008 2009 2010 2011 2012<br />

LP CVD PE CVD ALD APCVD/SACVD<br />

PVD MO CVD Epitaxy<br />

Source: Gartner data<br />

Figure 27: Deposition market share<br />

Tokyo Electron<br />

12%<br />

Lam Research<br />

11%<br />

Hitachi Kokusai<br />

Electric 7%<br />

ASM International 6%<br />

Applied<br />

Materials<br />

47%<br />

Others<br />

15%<br />

Veeco<br />

4%<br />

Source: Gartner data<br />

As shown in Figure 27, AMAT holds a 47% share of the deposition market. It<br />

dominates all major sub-segments, including PE CVD, PVD and epitaxy. TEL<br />

holds the second-biggest market share at 12%, and is mainly concentrated in the<br />

CVD market. We do not expect the market share structure to change significantly<br />

in the next few years. We believe AMAT has continuously held the biggest market<br />

share because of its strong position in PE CVD and PVD solutions. TEL’s market<br />

share will benefit from ALD growth, and suffer from the decline in LP CVD<br />

adoption. ASMI’s market share may increase from 5% today, driven by the<br />

adoption of ALD and epitaxy.<br />

Among deposition market players, we like ASMI the most as it is only exposed to<br />

fast-growing ALD/epitaxy markets. We dislike TEL as it generates most of its<br />

deposition revenue from LP CVD, and its ALD exposure is not big enough to<br />

offset the LP CVD market’s decline. AMAT is widely exposed to this deposition<br />

market and is a leader in growing solution markets such as epitaxy and PE CVD.<br />

However, it is heavily exposed to PVD, which is growing but at a slower rate than<br />

the ALD, epitaxy and PE CVD markets.<br />

24


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Etching – positive from DP, FinFET, 3D NAND and small negative from<br />

EUV<br />

During the past decade, the etching market has grown by c0.5% a year compared<br />

with the c2.6% growth of total semiconductor capex. Its share in total<br />

semiconductor capex fell from 15% in 2004 to 13% in 2012. We expect the<br />

demand for etching to increase with the ramp-up of DP/multiple patterning,<br />

FinFET design and 3D NAND. The demand for etching is likely to decline when<br />

EUV starts to eliminate the double/multiple patterning processes, but the decline<br />

will be offset by increased etching demand from EUV mask manufacturing<br />

processes. Therefore, on a net basis, we believe the etching market will remain flat<br />

or slightly down in the next three years, and will underperform the total<br />

semiconductor capex.<br />

Figure 28: Deposition solutions – PE CVD/ALD/epitaxy to outperform<br />

Etching market by Solution<br />

40%<br />

35%<br />

30%<br />

25%<br />

20%<br />

15%<br />

10%<br />

5%<br />

0%<br />

2008 2009 2010 2011 2012<br />

Bevel Edge Removal Metal Etch Dielectric Etch<br />

Silicon Etch<br />

Other Ethc<br />

Source: Gartner data<br />

Among the different etching solutions, silicon etching, which is dominated by<br />

LAM and Hitachi, is likely to outperform the etching market and take capex away<br />

from dielectric etching. This is because DP and 3D NAND/memory use more<br />

silicon etching steps. FinFET design uses both silicon etching and dielectric<br />

etching, and therefore has a neutral impact on the demand of silicon and dielectric<br />

etching steps (see Figure 28).<br />

Figure 29: Etching market share<br />

Tokyo Electron<br />

29%<br />

Applied<br />

Materials<br />

10%<br />

Lam Research<br />

47%<br />

Hitachi High-<br />

Technologies<br />

9%<br />

Others<br />

5%<br />

Source: Gartner data<br />

25


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Figure 29 shows that LAM dominates the etching market, with a 47% market share<br />

in 2012, followed by TEL, with a share of 29%. TEL may lose share in etching due<br />

to its focus on the low-growth dielectric etching market. AMAT has a 10% market<br />

share of the etching market. In 2011 and 2012, it lost 9% of its market share in the<br />

etching market, from both dielectric and silicon etching. In our opinion, winning<br />

back the lost share from LAM and Hitachi will not be easy.<br />

In the etching market, we think LAM will outperform as it has the greatest share in<br />

silicon etching. We think TEL will underperform because of its heavy exposure to<br />

dielectric etching (63% share). AMAT has a 10% share of the etching market. It<br />

generates more revenue from silicon etching than dielectric etching, and has a<br />

higher market share in silicon etching.<br />

Wafer level packaging<br />

The wafer level packaging market has doubled during the past 10 years. Its share of<br />

semiconductor capex went up from 1.7% in 2004 to 3% in 2012. The growth was<br />

mainly driven by solid TSV equipment demand, and offset by the fall in demand<br />

for contact probers, which are used in testing (see Figure 30). We expect the<br />

growth of the wafer level packaging market to remain robust, driven by 3D<br />

packaging, although this solution is still not widely adopted by chip-makers. 3D<br />

packing will gain more traction and become the key enabler for scaling after EUV’s<br />

physical limit is reached. Chip-makers will then pay more attention to 3D<br />

stacking/packaging solutions. We believe Samsung and other leading chip-makers<br />

have already started pilot production lines using 3D packaging technology.<br />

Figure 30: Wafer level packaging market trend<br />

Wafer Level Packaging market by Solution<br />

40%<br />

35%<br />

30%<br />

25%<br />

20%<br />

15%<br />

10%<br />

5%<br />

0%<br />

2008 2009 2010 2011 2012<br />

Litho Tool TSV Tool Bump Processing<br />

Packaging inspection<br />

Others<br />

Source: Gartner data<br />

Figure 31: Wafer level packaging market share<br />

Lam Research<br />

3%<br />

Rudolph<br />

Technologies<br />

6% Ulvac<br />

5%<br />

Source: Gartner data<br />

Others<br />

42%<br />

Disco<br />

15%<br />

Applied<br />

Materials<br />

9%<br />

Tokyo Electron<br />

3%<br />

Suss MicroTec<br />

4%<br />

EV Group<br />

7%<br />

Ultratech<br />

6%<br />

26


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

SUSS is the main beneficiary of this trend because of its exposure to 3D packaging<br />

wafer bounders, which have the highest growth potential within the wafer level<br />

packaging market. AMAT might benefit to a lesser extent through its exposure to<br />

bump processing, which is experiencing slower growth than wafer bounders. TEL<br />

will not benefit from the market expansion because its market share in wafer level<br />

packing is concentrated on the declining contact probers equipment area. If<br />

Samsung or other chip-makers manages to release a high-quality showcase chip<br />

next year, the adoption rate may accelerate as its competitors will not want to miss<br />

any major chip design solution.<br />

27


ASML Holding NV<br />

Technology Hardware<br />

The best positioned player, but priced in<br />

• We initiate coverage of ASML with a Hold recommendation and a<br />

price target of €73. Our recommendation is based on the following<br />

factors. 1) We believe ASML is the best-quality semiconductor<br />

equipment company. It is 100% exposed to the lithography market,<br />

which we expect to double to $14bn by 2016. We expect ASML’s<br />

share of that market to expand from 74% to 80% by 2016. 2) ASML<br />

supplies lithography tools used in the chip fabrication process. It has a<br />

100% share of the extreme ultraviolet (EUV) market, which is<br />

essential for printing leading-edge chips. 3) However, we believe the<br />

market has mostly priced in these positive factors at ASML’s current<br />

~€70 level.<br />

• Key debates: 1) Can ASML deliver EUV with 69 wafer per hour<br />

(wph) throughput by 2014? 2) What demand is there for EUV tools?<br />

3) Is Nikon a threat to ASML’s position? 4) How will developments in<br />

EUV affect ASML’s margin?<br />

1. ASML has shown decent EUV progress over the last two<br />

quarters, and its acquisition of Cymer (2012) has strengthened its<br />

R&D capability. We believe it is very likely that ASML will deliver<br />

EUV with 69wph by mid-2014 as targeted.<br />

2. Our end-market demand analysis shows that chip-makers will<br />

need a total of 95 EUV tools between 2013 and 2016 for building<br />

required leading-edge chip capacity to meet demand for leadingedge<br />

chips. We expect ASML to ship 15, 24 and 50 tools in 2014,<br />

2015 and 2016 respectively.<br />

3. We do not see Nikon as a threat to ASML’s leadership position in<br />

the next 3 to 4 years, as it is focusing on pushing current<br />

technology (ArFi tools). The depreciation of the yen is unlikely to<br />

give Nikon a competitive advantage over ASML, as we believe the<br />

industry is price-inelastic.<br />

4. The initial ramp up of EUV tools carries a 25% margin and is<br />

margin-dilutive. Once ASML improves efficiency and Intel’s<br />

contribution starts to materialise, we believe EUV (a 47% margin<br />

by 2016) will be margin-accretive in 2015 and 2016.<br />

• Our revenue and EPS forecasts are in line with consensus.<br />

• Our price target of €73.00 implies a 12x P/E based on EPS estimates<br />

of €7.4/share, discounted by a 10% WACC. We have adopted this<br />

EPS estimate because it reflects the earnings power that EUV will, in<br />

our opinion, realise in 2016. The 12x P/E is 10% higher than the<br />

middle of its historical P/E range to reflect ASML’s potential 6%<br />

market share increase from 2010 to 2016.<br />

Y/E 31.12., EUR m 2011 2012 2013E 2014E 2015E 2016E<br />

Sales 5,651 4,732 5,034 6,580 7,344 9,346<br />

EBIT 1,467 1,146 808 1,445 2,035 3,014<br />

Net profit 1,467 1,146 890 1,494 1,902 2,900<br />

Y/E net debt (net cash) -1,998 -1,012 -550 -983 -2,461 -4,613<br />

EPS (proforma) 3.42 2.68 2.18 3.60 4.97 7.36<br />

CPS 4.86 1.66 1.67 3.99 6.25 8.09<br />

DPS 0.40 0.44 0.51 0.53 0.61 0.84<br />

Gross margin 43.4% 42.4% 39.9% 41.1% 43.2% 45.5%<br />

EBIT margin 29.0% 24.4% 17.5% 23.9% 30.1% 35.8%<br />

Dividend yield 0.6% 0.7% 0.8% 0.8% 0.9% 1.2%<br />

ROCE 42.6% 28.2% 11.8% 18.8% 22.1% 25.9%<br />

EV/sales 4.9 5.9 5.5 4.2 3.8 3.0<br />

EV/EBIT 16.9 23.9 31.5 17.6 12.5 8.3<br />

P/E 19.8 25.2 35.5 19.7 13.9 9.3<br />

Source: Company data, <strong>Berenberg</strong><br />

Hold (initiation)<br />

Rating system<br />

Current price<br />

EUR 67.68<br />

Absolute<br />

Price target<br />

EUR 73.00<br />

19/07/2013 Amsterdam Close<br />

Market cap EUR 28,701 m<br />

Reuters ASML.AS<br />

Bloomberg ASML NA<br />

Share data<br />

Shares outstanding (m) 420<br />

Enterprise value (EUR m) 28,152<br />

Daily trading volume 1,521,170<br />

Performance data<br />

High 52 weeks (EUR) 68<br />

Low 52 weeks (EUR) 40<br />

Relative performance to SXXP AEX<br />

1 month 10.1 % 7.1 %<br />

3 months 19.1 % 15.5 %<br />

12 months 22.6 % 28.3 %<br />

Key data<br />

Price/book value 4.2<br />

CAGR sales 2011-2014 18.5%<br />

CAGR sales 2012-2016 28.3%<br />

Business activities:<br />

ASML is the world’s leading provider of<br />

lithography systems for the semiconductor<br />

industry. It designs, develops, integrates,<br />

markets and services the lithography tools used<br />

by its customers.<br />

22 July 2013<br />

Tammy Qiu<br />

Analyst<br />

+44 20 3207 2673<br />

tammy.qiu@berenberg.com<br />

Jean Beaubois<br />

Specialist Sales<br />

+44 20 3207 7835<br />

jean.beaubois@berenberg.com<br />

28


ASML Holding NV<br />

Technology Hardware<br />

Investment summary<br />

Our investment thesis on Hold-rated ASML is based on the following five points.<br />

1. ASML’s market share likely to expand from 74% to 80% in 2016: ASML<br />

ships 86% of the most-advanced ArFi tools and holds a 100% share of the<br />

EUV market. Chip-makers require EUV or other leading-edge tools to make<br />

smaller chips, we therefore expect ASML’s market share to expand from the<br />

current 74% to 80% in 2016.<br />

We do not believe that Nikon will benefit from the depreciation of the yen,<br />

and gain share from ASML. In our opinion, chip-makers are unlikely to switch<br />

tool vendors purely due to lower price because: 1) high specification and<br />

reliability are more important because they can affect yield rates; and 2) the<br />

extra reconfiguration and integration cost associated with new tools can easily<br />

exceed savings from tool prices.<br />

2. EUV is essential for shrinkage – €5bn revenue in 2016: We expect EUV to<br />

be widely adopted as it saves 34% on cost compared with existing double<br />

patterning (DP) technology. From our end-market analysis, we conclude that<br />

chip-makers will require a total of 95 tools by 2016 if they are to produce in<br />

line with their roadmap. We estimate the revenue contribution from EUV to<br />

be €1.2bn, €2.3bn and €5.0bn in 2014, 2015 and 2016 respectively, which<br />

accounts for 18%, 31% and 54% of ASML’s total revenue.<br />

We do not expect ASML to lose EUV market share to Nikon before<br />

2017/2018, because: 1) Nikon is unlikely to release any EUV tools for<br />

commercial use before 2015/16, and all major chip-makers will have three to<br />

four ASML tools in place by that time; 2) Nikon’s recent presentation shows<br />

that it is focused on 450mm equipment development instead of EUV; 3)<br />

despite its dual-sourcing policy, Intel is unlikely to order any EUV tools that<br />

Nikon develops unless such tools show the same level of performance<br />

compared as ASML’s tool. We think Intel now buys over 50% of its new tools<br />

from ASML compared with less than 40% in 2009. This is because the<br />

performance of Nikon’s tools lags ASML’s equipment.<br />

3. Lithography market to double by 2016: The level of lithography investment<br />

increases as chip sizes shrink. This is true regardless of whether manufacturers<br />

adopt an EUV or a DP solution. As a result, we expect the lithography market<br />

to double by 2016 to $14bn (20% of total capex) from $7bn in 2012 (12% of<br />

total capex).<br />

4. However, we think the above factors are well understood by the market,<br />

and priced into the stock price: ASML’s share price has tripled since the<br />

start of 2011 because: 1) the company has made positive progress on the<br />

development of its EUV tool, and management has retained, and is likely to<br />

achieve, its target of 105 watts of light source power in 2014; 2) all chipmakers<br />

have laid out aggressive shrinkage plans to enter lithography-intensive<br />

20nm/16nm by 2014/2015; 3) Nikon is not focusing on EUV and we do not<br />

expect it to have any EUV model before 2015/2016, so ASML is likely to<br />

maintain its monopoly; 4) ASML’s customer co-investment programme (in<br />

which TSMC, Samsung and Intel have all invested in ASML to support its<br />

EUV and 450mm development) has demonstrated that the mainstream chipmakers<br />

recognise the company’s status as a technology leader.<br />

However, given that the stock price has nearly doubled since the beginning of<br />

2012, we are concerned that any execution issues that ASML has with its light<br />

source power, alongside industry or market speculation about alternative<br />

29


ASML Holding NV<br />

Technology Hardware<br />

technology or materials, could hamper further share price performance.<br />

AMAT said at the US Semicon West 2013 conference in July that<br />

semiconductor companies are currently focusing more on new materials than<br />

they are on lithography scaling, ie EUV should have a minimal impact on the<br />

industry. AMAT also quoted that a fabless company suggested that 90% of its<br />

performance improvement came from materials, and only 10% from<br />

lithography. In addition, the consumer electronics market is currently driven<br />

by demand for mid- to low-end products, which do not require the most<br />

advanced chips. The demand for leading-edge chips could, therefore, decline if<br />

demand for mid- to low-end products rises.<br />

5. Valuation: Our price target of €73.00 implies a 12x P/E based on EPS<br />

estimates of €7.4/share, discounted by a 10% WACC. We have adopted this<br />

EPS estimate because it reflects the earnings power that EUV will, in our<br />

opinion, realise in 2016. Our 12x P/E has assigned a 10% premium to<br />

ASML’s historical mid-recovery-cycle P/E (9x-13x) to reflect ASML’s more<br />

competitive position (market share: 80% by 2016 compared with the last<br />

recovery cycle of 2010, when it had a market share of 70%).<br />

ASML’s RoE is the highest within our coverage, and it has the lowest dividend<br />

yield, FCF yield and net cash/market cap.<br />

Figure 1: Valuation matrix<br />

Dividend<br />

ROE*<br />

Cash/marc<br />

yield*<br />

FCF yield* Net cash/marcap<br />

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%<br />

No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%<br />

No.3 SUSS 9.1% ASML 0.9% ASML 4.4% AMAT 16.7%<br />

No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%<br />

No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%<br />

* based on <strong>Berenberg</strong> estimation of 2014 performance<br />

Net cash based on 2012 YE balance<br />

Source: <strong>Berenberg</strong> estimation, company data<br />

Key catalysts<br />

The following are the key catalysts for the share price.<br />

1) Further progress on EUV light source: Management has retained its target<br />

for 105 watts by mid-2014, and expects to reach 80 watts by<br />

September/October 2013. We think ASML’s acquisition of Cymer has further<br />

strengthened its R&D capability on EUV, and believe that ASML is likely to<br />

reach 105 watts by 2014 as targeted.<br />

2) DRAM and NAND order recovery provides order intake/revenue<br />

upside: After seeing DRAM orders recover in Q2, we believe memory orders<br />

will accelerate in the coming quarters, especially NAND orders. Companies<br />

such as KLA and LAM have indicated improving memory orders/spending<br />

compared with the 2012 trough last quarter.<br />

3) Chip-makers accelerate their roadmap on shrinkage: In our opinion, chipmakers<br />

could accelerate their shrinkage plans in next few months, as the level<br />

of competition in the consumer electronics market intensifies.<br />

4) Nikon fails to release EUV tools: We think Nikon is unlikely to announce<br />

any EUV-related progress until 2014/2015, as its current focus is on 450mm.<br />

30


ASML Holding NV<br />

Technology Hardware<br />

Key risks<br />

The following are the key risks for the stock.<br />

1) EUV feasibility and potential delay: We believe that with its acquisition of<br />

Cymer ASML is the only player able to deliver EUV tools. However,<br />

challenges remain to achieve the 105-watt target by mid-2014. For example,<br />

light source power is still at 55 watts today, and we may see new problems<br />

such as heat management as light source power increases.<br />

2) <strong>Semiconductor</strong> spending pause as consumer electronics market<br />

saturates: Smartphone and tablets have driven capex spend in past few years,<br />

if these markets become saturated, then as slower investment phase will result.<br />

3) Alternative technology steals EUV’s market: We believe alternative<br />

solutions, such as electron beam (E BEAM) and directed self-assembly (DSA),<br />

are unlikely to replace EUV today, because E BEAM currently has a low<br />

throughput, and DSA requires significant process control equipment<br />

investment. However, in long run, they can be threats to EUV demand if<br />

throughput is improved.<br />

31


ASML Holding NV<br />

Technology Hardware<br />

The quasi-monopolist in the lithography market<br />

The lithography market today has three players: ASML, Nikon and Canon. In<br />

2012, ASML held a 74% market share by revenue – compared with Nikon’s 15% –<br />

and a 66% market share by shipment (see Figures 2 and 3). ASML focuses on the<br />

high end of the market and ships 86% of the most-advanced ArFi tools, which<br />

have an average selling price of €40m. Nikon and Canon mainly ship legacy tools,<br />

which cost €5m-10m each. Nikon lags ASML in ArFi tool development, which is<br />

the current mainstream lithography tool used by chip-makers. Nikon shipped its<br />

first ArFi tool in Q1 2009, whereas ASML started shipping in 2004. Canon only<br />

supplies legacy KrF and i-Line tools, and has no ArFi product.<br />

ASML will not, in our opinion, lose market share in the future. Lithography tools<br />

are usually fully integrated into chip-manufacturing process, so a manufacturer that<br />

wants to switch tool vendors must reconfigure its process and incur a significant<br />

initial service cost.<br />

Figure 2: Lithography market share by revenue<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

1996 1998 2000 2002 2004 2006 2008 2010 2012 2013E 2014E 2015E 2016E<br />

ASML Nikon Canon<br />

Source: Company data, Company data, <strong>Berenberg</strong> estimates<br />

Figure 3: Lithography market share by shipment<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011<br />

Nikon ASML Canon Lithratoch-Stepper ISI<br />

Source: The Information Network<br />

We believe EUV and ArFi tools will continue to be the mainstream lithography<br />

tools used by chip-makers for the foreseeable future, and generate the majority of<br />

the industry’s revenue. At the same time, legacy tools will be phased out slowly<br />

over the next four years.<br />

32


ASML Holding NV<br />

Technology Hardware<br />

We estimate that ASML will hold an 80% share of the market in 2016, and<br />

maintain its current penetration rate with existing customers. We do not expect<br />

Nikon to develop EUV tools before 2015, and its ArFi tool’s throughput lags<br />

ASML’s tool. The throughput of Nikon’s NSR-S622D ArFi tool, released in<br />

January 2013, is 200wph versus 250wph of ASML’s NXT:1970Ci (to be released in<br />

Q3 2013). Throughput is a key specification watched by chip-makers, as higher<br />

wph means greater efficiency and cost-reduction per chip. We expect Nikon’s<br />

shipments to remain at low levels and Intel will remain its main customer.<br />

Figure 4: Lithography tool unit shipment<br />

Type ASP units 2009 2010 2011 2012 2013E 2014E 2015E<br />

I-Line EUR 4-5m ASML 12 23 20 15 15 12 8<br />

Nikon* 4 16 35 16 21 20 15<br />

Canon 8 23 45 43 40 38 38<br />

KrF EUR 9-12m ASML 17 68 87 78 52 50 32<br />

Nikon* 16 12 28 3 6 8 10<br />

Canon 3 6 7 3 3 2 1<br />

ArF Dry EUR 20-23m ASML 10 12 11 4 4 8 8<br />

Nikon* 1 1 3 8 11 8 8<br />

Canon 0 0 0 0 0 0 0<br />

ArFi EUR 35-40m ASML 31 94 101 72 69 72 67<br />

Nikon* 15 28 18 13 15 13 8<br />

Canon 2 0 0 0 0 0 0<br />

EUV EUR 70-100m ASML 0 0 3 1 3 15 24<br />

Nikon* 0 0 0 0 0 0 2<br />

Canon 0 0 0 0 0 0 0<br />

Total 119 283 358 256 239 246 221<br />

ASML% 59% 70% 62% 66% 60% 64% 63%<br />

Nikon% 30% 20% 23% 16% 22% 20% 19%<br />

Canon % 11% 10% 15% 18% 18% 16% 18%<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

*Nikon 2012: FY March 2013<br />

We do not believe that the depreciation of the yen will give Nikon a competitive<br />

advantage over ASML. Chip-makers are unlikely to switch vendors purely to<br />

obtain a lower price: the reconfiguration and integration costs associated with new<br />

tools is likely to be more than the difference in ASP. Furthermore, chip-makers<br />

usually value tool specifications and reliability more than they do price points.<br />

33


ASML Holding NV<br />

Technology Hardware<br />

EUV drives ASML’s future success<br />

EUV is the key enabler of Moore’s law, and ASML holds 100%<br />

market share<br />

<strong>Semiconductor</strong> manufacturing is driven by Moore’s law, which states that the<br />

number of transistors on an affordable chip will double every two years. As chipmakers<br />

start to print 20nm chips, and subsequently 10nm, 7nm and 5nm chips, the<br />

EUV solution is both cheaper and simpler than ArFi solutions. ASML’s coinvestment<br />

programme demonstrated the commitment from Intel, Samsung and<br />

TSMC to this technology, and ASML is recognised as technology leader in this<br />

field.<br />

The DP/multi-patterning (MP) process using ArFi tools (which exposes the wafer<br />

twice instead of once in order to reduce the printed feature size) is now the<br />

mainstream solution for printing 20nm chips. However, manufacturers claim that<br />

this process is too costly because it increases the number of lithography exposures<br />

per wafer, meaning that they must either reduce output or buy more tools. EUV<br />

enables chip-makers to expose the critical layer in just one exposure instead of two.<br />

In terms of total cost per wafer (see Figure 5 below), EUV delivers cost savings of<br />

34% compared with ArFi’s DP process, even if EUV tools cost twice as much to<br />

buy.<br />

Figure 5: EUV solutions deliver a cost benefit<br />

by ArFi<br />

by EUV<br />

Overall patterning cost<br />

(incl litho, etching, etc)<br />

€70.82/wafer<br />

€46.44/wafer<br />

Litho cost<br />

€23.36/wafer<br />

€31.74/wafer<br />

2*ArFi exposures/layer 1*EUV exposure/layer<br />

Litho cost/total cost 33% 68%<br />

Source: ASML data<br />

Apart from the cost savings, EUV simplifies the fabrication process by halving the<br />

steps and cycle time required in DP (see Figure 6 below). Chip-makers are likely to<br />

allocate more “critical layers” – the layers on a chip that require the highest<br />

resolution and precision to EUV from ArFi. Logic/foundries players are likely to<br />

pick up EUV first to meet the demand for small chip designs from for consumer<br />

electronics devices, and because it is more expensive for them to use DP<br />

compared to memory players.<br />

34


ASML Holding NV<br />

Technology Hardware<br />

Figure 6: EUV simplifies the process and the cost<br />

Source: ASML data<br />

Source: AMSL data<br />

ASML currently has a 100% share of the EUV market, and we do not expect this<br />

to change even after Nikon introduces its EUV tool after 2015/16. Lithography<br />

tools are usually fully integrated into the chip-manufacturing process, so<br />

manufacturers that want to switch tool vendors must reconfigure their processes<br />

and incur significant initial service costs. On our estimates, all major chip-makers<br />

will have three to four ASML EUV tools in place by 2015. It is therefore unlikely<br />

that they will place any EUV orders with Nikon. Even Nikon’s biggest customer,<br />

Intel, is unlikely to buy Nikon’s tools, unless they are superior to ASML’s. This is<br />

very unlikely in our view.<br />

Figure 7: ASML EUV status versus Nikon<br />

EUV status ASML Nikon<br />

Light source power 55 watt 10 watt @2012<br />

Wafer throughput 43 wph 8 wph<br />

Adoption can be used for 20nm required for 16nm-11nm<br />

-ArFi is the primary tool for sub 20nm process,<br />

View on EUV -EUV will be adopted as soon as wph meets requirement<br />

-Limited focus on EUV,<br />

TWINSCAN NXE:3100<br />

EUV tools<br />

na<br />

TWINSCAN NXE:3300<br />

First shipment Q4 2010 na<br />

Machine shipped 6 shipped na<br />

11 for 2013,<br />

Order in place<br />

na<br />

8-12 potential order for 2014<br />

- 2015: 30-36 tools,<br />

-Introduce EUV earliest 2015,<br />

Capacity<br />

- 2016/2017:60-66 tools<br />

-EUV in volume in 2018/2019.<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

We estimate that ASML will ship 15, 24 and 50 EUV in 2014, 2015 and 2016<br />

respectively, contributing €1.2bn, €2.3bn and €5.0bn respectively to its revenues.<br />

35


ASML Holding NV<br />

Technology Hardware<br />

We believe 2016 shipments will be strong as chip-makers will start to allocate more<br />

layers to EUV as they gain more experience of the technology, and because<br />

DP/MP is currently too complex/expensive to be used in 20nm/10nm mass<br />

production.<br />

Our assumptions for tools shipped are based on our end market analysis (see<br />

Figure 8 below).<br />

Our assumptions are as follows.<br />

• Foundry/logic players (excluding Intel) will reach 340,000wpm 28nm<br />

and 60,000wpm 20nm/16nm capacity in 2013, and will continuously<br />

expand 20/16nm wafer capacity to reach 270,000wpm in 2016, which is<br />

less than 28nm capacity today: TSMC stated that 20/16nm could be a bigger<br />

node compared to 28nm. We have taken a conservative approach in making<br />

our 20/16nm capacity assumption, as TSMC may re-use equipment from 28nm<br />

if it ramps up aggressively on 20/16nm. We estimate that 10nm capacity will<br />

begin to ramp up from 2016 and reach 20,000wpm in 2016. We included four<br />

EUV R&D tools in our analysis and assigned €70m ASP for each of them,<br />

which is lower than the normal EUV ASP of €100m.<br />

• DRAM starts to adopt EUV in 2015, and migrates 53% of total wafer<br />

capacity to 30nm and below in 2016: We have been conservative here to<br />

reflect the memory spending pause. DRAM-makers plan to shift 69% capacity<br />

to 30nm or less, according to their various statements. We included two EUV<br />

R&D tools in our analysis, with an ASP of €70m each.<br />

• NAND starts to adopt EUV in 2016, and migrates 65% of total wafer<br />

capacity to 20nm and below in 2016: We have taken a conservative approach<br />

on NAND, too, to take account of the expected memory spending pause.<br />

NAND-makers plan to shift 83% capacity to 20nm or less, according to their<br />

various statements.<br />

3D NAND is less lithography intensive compared to 20nm/10nm NAND<br />

design. Our analysis suggests the impact of 3D NAND on total capex is likely<br />

to be small. We estimate that the maximum negative impact on EUV demand<br />

by 3D NAND is 3 EUV tools.<br />

• Intel will have 85,000wpm capacity on 22nm and 33,000wpm on 14/10nm<br />

in 2016, and it will re-use ArFi tools in 2014 when 14nm/10nm first ramps up.<br />

• In terms of market share, we assume ASML to have:<br />

o 100% of the EUV order from all chip-makers;<br />

o 100% of the ArFi/ArF tool order from foundry/logic (excluding Intel),<br />

DRAM and NAND players;<br />

o 60% of the KrF/I Line tool orders from foundry/logic (excluding Intel),<br />

DRAM and NAND players;<br />

o 60% of the ArFi,/ArF tool orders from Intel;<br />

o 50% of the KrF/I Line tool order from Intel.<br />

36


ASML Holding NV<br />

Technology Hardware<br />

Figure 8: End-market demand analysis<br />

2013E 2014E 2015E 2016E<br />

Foundry/logic (excl Intel)<br />

28nm wpm 340,000 340,000 340,000 340,000<br />

add 140,000 0 0 0<br />

20nm/16nm wpm 60,000 130,000 200,000 270,000<br />

add 60,000 70,000 70,000 70,000<br />

10nm wpm - - - 20,000<br />

add - - - 20,000<br />

Total Capex add $mn 4,591 2,254 4,401 6,433<br />

ASML get tool (EUV, ArFi, ArF only) 72 34 44 90<br />

EUV 4 2 23 36<br />

ArFi 56 28 18 26<br />

2013E 2014E 2015E 2016E<br />

DRAM<br />

Total wafer capacity 1,200,840 1,200,840 1,236,865 1,273,971<br />

shift to


ASML Holding NV<br />

Technology Hardware<br />

Lithography market to double in size by 2016<br />

Consumer electronics will be the main driver of semiconductor growth in the next<br />

few years, in our opinion. Chip-makers are actively scaling their chip sizes down to<br />

facilitate the new generation of device designs, which are smaller, lighter, more<br />

power-efficient and have better performance. As shown in Figure 9 below, all the<br />

major chip-makers are planning to enter lithography-intensive 20nm/10nm node<br />

in 2013/2014, and then expand their leading-edge chip output afterwards. As chipmakers<br />

shrink down the technology roadmap, they require more lithography tools<br />

which can print to smaller nodes.<br />

Figure 9: Chip-maker roadmap<br />

2010 2011 2012<br />

2013E 2014E 2015E<br />

Foundry/Logic<br />

TSMC<br />

-40/65nm<br />

-28nm HKMG & Poly SiON<br />

-28nm/20nm/16nm FinFET -28nm/20nm/16nm -20nm/16nm/10nm FinFET<br />

Samsung -40nm -45/32nm HKMG -28nm HKMG & Poly SiON -20nm<br />

-20nm/14nm/10nm FinFET<br />

UMC -65nm/40nm - 40nm -40nm<br />

-28nm Poly SiON -28nm/20nm/14nm -14nm FinFET<br />

GF - 45nm/ 32nm 45nm/32nm<br />

-28nm -20nm/14nm XM -14nmXM -20nm/14nm/10nm FinFET<br />

Intel -32nm -22nm 3D, 14nm end of 2013<br />

-14nm 3D -10nm 3D/7nm/5nm<br />

NAND<br />

Samsung -27nm -21nm<br />

-19nm -19nm/16nm -16nm -12nm/14nm/3D NAND<br />

Toshiba/SanDisk -24nm -19nm<br />

-19nm/3D NAND. -16nm -12nm/14nm/3D NAND<br />

Micron(Elpida)<br />

- 25nm -20nm -1Y(18/15nm)/3D NAND -16nm/14nm/12nm/3D NAND<br />

SK Hynix<br />

-26nm -20nm - 16nm/3D NAND -14nm/12nm/3D NAND<br />

DRAM<br />

Samsung - 40nm -40nm/35nm/28nm -25nm - 2Y(23nm/25nm)/28nm<br />

-2Ynm & 2Znm (20/21nm).<br />

Micron -50nm -32nm<br />

-24nm<br />

- 24nm/2Znm<br />

Elpida<br />

SK Hynix<br />

-42nm<br />

-44nm<br />

-32nm<br />

-40nm/38nm<br />

-25nm<br />

-3xnm/28nm/23nm<br />

Others<br />

-45nm/42nm/30nm -30nm&2xnm<br />

Source: Company data, <strong>Berenberg</strong> estimate<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

The level of lithography investment needs to increase as chip size<br />

decreases: Until EUV technology becomes available, DP/MP with ArFi tools will<br />

continue to be the mainstream solution used in printing 20nm chip (the DP/MP<br />

process exposes the wafer twice, rather than once, to achieve smaller chip size.<br />

Using logic fab as an example, the lithography investment needed for building a<br />

22/20nm fab is 1.8x greater than for building a 32/28nm fab (see Figure 10<br />

below), as more ArFi and methodology tools are required.<br />

Figure 10: Lithography tools required in leading-edge fab<br />

All scenarios are based on “typical” process using 2012 system productivity levels<br />

Source: ASML data, <strong>Berenberg</strong> estimates<br />

-23nm & 2Znm (20/21nm)<br />

-2Xnm/2Znm<br />

Logic fab 45k wafers/month equipment required<br />

Logic 32/28nm 22/20nm by Double Patterning 10nm by EUV<br />

ArFi ArFi ArFi ArFi EUV EUV<br />

ArFi ArFi ArFi ArFi ArFi<br />

ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi<br />

ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi ArFi<br />

ArF ArF ArF ArF ArF ArF ArF<br />

KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF<br />

KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF KrF<br />

I-Line I-Line I-Line I-Line I-Line<br />

Lithography cost €600mn Cost 1.8 times more: €1,080mn Lithography cost €820mn<br />

9 more ArFi: 9*€40mn=€360mn 2 EUV tools: 2 * €100m=€200mn<br />

Metrology: €5-€7mn/ArFi tool<br />

Metrology: €5-€7mn/ArFi tool<br />

37 litho layers 40 litho layers<br />

38 litho exposures 52 litho exposures<br />

38


ASML Holding NV<br />

Technology Hardware<br />

Figure 11: Lithography market double in 2016<br />

USD mn<br />

80,000<br />

70,000<br />

60,000<br />

50,000<br />

40,000<br />

30,000<br />

20,000<br />

10,000<br />

0<br />

2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E<br />

Lithography capex <strong>Semiconductor</strong> capex Lithography as % total capex<br />

25%<br />

20%<br />

15%<br />

10%<br />

5%<br />

0%<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

The lithography market has grown by c1.7% over the past 10 years, while total<br />

semiconductor capex has fallen by c0.5% (see Figure 11). As stated above, chipmakers<br />

are constantly working to reduce the size of chips – and the smaller the<br />

chip the more it costs to print. We therefore expect the lithography market to grow<br />

at c20% for the next three years to reach $14bn in 2016, and for lithographyrelated<br />

capex as a percentage of total semiconductor capex to increase from 12%<br />

today to 20% in 2016. In our view, ASML, which is 100%-exposed to this market,<br />

will certainly benefit from this trend.<br />

39


ASML Holding NV<br />

Technology Hardware<br />

Gross margin expansion<br />

We expect ASML’s group adjusted gross margin to reach 45.9% in 2016 from<br />

41.9% in 2013. The following factors will contribute to this increase.<br />

1. We expect the EUV gross margin to improve after a two-year ramp-up<br />

period: We expect the EUV tools gross margin to reach ~45% in mid-2015,<br />

after volume shipment has begun. The EUV gross margin currently stands at<br />

25%.<br />

2. Intel’s €829m investment in R&D will be recognised in ASML’s gross<br />

margin over the next five years: Based on the level of business activity<br />

between ASML and Intel, we assume that a fifth of Intel’s investment (€166m)<br />

will be included in the figure in 2015, producing a minimum gross margin<br />

contribution in 2016 of 1.8%.<br />

3. We expect the group margin excluding EUV to reach 43.5% in 2016 due<br />

to the increased top line and growth in the high-margin service and software<br />

segment (€1.2bn revenue in 2016 versus €930m in 2012).<br />

4. Cymer’s positive gross margin impact: Cymer’s adjusted gross margin was<br />

56% in Q2 2013, higher than ASML’s average group gross margin (41.8% in<br />

Q2 2013). We expect the Cymer acquisition to lead to a 50bp increase in the<br />

group gross margin by 2016.<br />

We expect that with its current product portfolio, ASML will be able to maintain<br />

its existing profitability level given ASP stability. In addition, chip-makers look at<br />

total cost of ownership – ie the cost saving from a more efficient process or higher<br />

yield rate usually justifies the higher ASPs of new generation tools.<br />

Figure 12: ASML tool ASP will not decrease over time<br />

EUR mn<br />

35<br />

30<br />

25<br />

20<br />

15<br />

10<br />

5<br />

0<br />

2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E<br />

Source: ASML data, <strong>Berenberg</strong> <strong>Bank</strong> estimates<br />

Source: AMSL data, <strong>Berenberg</strong> estimates<br />

ArFi NXT:1950i roadmap: 2011 2012 extensions 2013+ extensions<br />

Matched Machine Overlay 5.5nm 4.5nm 3.5nm<br />

On Product Overlay 9nm 6nm 4nm<br />

CDU 3nm 1.5nm 1nm<br />

Total focus control budget 110nm 90nm 70nm<br />

Throughput 190WPH 230WPH 250WPH<br />

Defects 10 defects/wafer 10 defects/wafer


ASML Holding NV<br />

Technology Hardware<br />

Key catalysts<br />

In our view, the following events could be catalysts for the share price.<br />

1. Further progress on EUV light source: EUV light source power is the<br />

bottleneck making it difficult for ASML to achieve the desired throughput<br />

level for it to be commercially viable. Cymer achieved 55 watts (43wph) in<br />

March 2013, and it is aiming to deliver 105 watts (69wph) by mid-2014. If<br />

Cymer announces any major progress on light source development towards<br />

that target, or even reaches 105 watts before mid-2014, then the stock price<br />

would react positively.<br />

In our opinion, the Cymer acquisition increased the likelihood of ASML being<br />

able to achieve its 105-watt target before mid-2014. ASML has announced<br />

decent progress in recent quarters, and management is confident that the<br />

company can reach 105-watt light source power by mid-2014.<br />

2. DRAM and NAND order growth: Memory orders have recovered from<br />

their trough in Q1 2013, and accounted for 35% of total orders in Q2. We<br />

believe that further improvement in memory orders would benefit ASML’s<br />

revenue and share price.<br />

In our opinion, we believe memory spending is increasing. 28% of KLA’s<br />

order were memory orders last quarter, up 60% from its December 2012<br />

quarter orders (17% of which were memory), and it expects memory to<br />

contribute 33% of orders in the June quarter. LAM has also indicated a<br />

strengthening in memory orders in H1; its memory shipment portion<br />

increased from a low 20% in December to 31% in the March quarter. We<br />

therefore believe it to be very likely that ASML’s memory order level will<br />

increase in the coming quarters, driven by customers such as Samsung and<br />

Toshiba.<br />

3. More aggressive shrinkage: Aggressive shrinkage plans will ultimately lead<br />

to a higher level of demand for EUV tools and ArFi tools, versus current<br />

modelled expectations.<br />

The chip-makers’ roadmaps are already aggressive, in our view, and so the<br />

opportunity for further acceleration in shrinkage terms would appear to be<br />

low. However, as competition intensifies in the consumer electronic market,<br />

Intel, TSMC and Samsung may bring their roadmaps forward in a bid to<br />

secure a technology leadership position.<br />

4. A delay in Nikon’s EUV development, or its exit from the EUV market:<br />

Nikon, ASML’s only competitor in this segment, is looking to introduce EUV<br />

after 2015/16. If Nikon delays this plan, or exits the EUV market altogether<br />

due to technical issues, we believe this would have a beneficial effect on<br />

ASML’s share price.<br />

We do not believe that Nikon will make an announcement on its EUV plans<br />

soon. It has stated that it is focusing on the ArFi market and that it expects its<br />

ArFi tool to extend to 20nm chip designs, while EUV is only required for<br />

printing 16nm/11nm chips. Therefore, we believe the timeframe for Nikon to<br />

announce any possible delay or EUV plan would be end-2014 at the earliest or<br />

2015, when it starts to focus on EUV production.<br />

41


ASML Holding NV<br />

Technology Hardware<br />

Financials<br />

P&L – EUV a future driver; foundry/logic orders solid<br />

ASML’s revenue is made up of tool revenue and software and service revenue. We<br />

model ASML’s revenue based on shipments of different types of tools.<br />

Figure 13: ASML tool revenue model<br />

2011 2012 2013E 2014E 2015E 2016E<br />

Shipment units<br />

I-Line 20 15 15 12 8 8<br />

KrF 87 78 52 50 32 28<br />

ArF Dry 11 4 4 8 8 12<br />

ArF Immersion 101 72 69 72 67 49<br />

EUV 3 1 3 15 24 50<br />

New 195 146 126 141 123 131<br />

Refurbished 27 24 17 16 16 16<br />

Total 222 170 143 157 139 147<br />

ASPs (E m)<br />

I-Line 3 4 4 4 4 4<br />

KrF 11 12 12 10 10 10<br />

ArF Dry 20 22 23 23 23 23<br />

ArF Immersion 35 38 42 45 45 45<br />

EUV 39 42 70 81 96 100<br />

Blended 22 22 27 33 42 53<br />

New System (inc EUV) 24 25 30 36 47 59<br />

Refurbished 4 8 5 6 6 6<br />

Revenues by technology<br />

I-Line 1% 1% 2% 1% 1% 0%<br />

KrF 19% 24% 16% 10% 6% 4%<br />

ArF Dry 5% 2% 2% 4% 3% 4%<br />

ArF Immersion 72% 71% 74% 62% 51% 28%<br />

EUV 2% 1% 5% 23% 39% 64%<br />

Revenues by technology<br />

I-Line 62 56 61 48 32 32<br />

KrF 945 908 632 520 333 291<br />

ArF Dry 221 86 92 184 184 276<br />

ArF Immersion 3,540 2,710 2,875 3,238 3,015 2,202<br />

EUV 116 42 210 1,210 2,300 5,000<br />

New system sales 4,772 3,621 3,790 5,104 5,768 7,705<br />

Refurbished sales 112 180 80 96 96 96<br />

Total Eqpmt sales (E m) 4,884 3,802 3,870 5,200 5,864 7,801<br />

Total equip sales (exl EUV) 4,768 3,760 3,660 3,990 3,564 2,801<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

We expect EUV shipments to increase in volume in 2015 and 2016 after ramping<br />

up slowly in 2013 and 2014. We expect logic/foundry companies to gradually<br />

allocate more layers to EUV from ArFi as they gain more experience of it, and<br />

memory-makers to start adopting EUV after throughput improves over time. We<br />

estimate EUV will contribute the majority of ASML’s total tool revenue from 2016<br />

onwards.<br />

In 2013 and 2014, we expect ArFi tools to contribute the majority of ASML’s total<br />

revenue and unit shipment. The adoption of the DP process by logic/foundry<br />

players in 20nm node will drive solid demand for ArFi tools in 2013 and 2014.<br />

Starting in H2 2014, the demand of ArFi is likely to decrease as the onset of EUV<br />

reduces demand for DP. From this point, ArFi tools will then only be used for<br />

non-critical layers.<br />

42


ASML Holding NV<br />

Technology Hardware<br />

Figure 14: ASML tool revenue/shipment by technology<br />

EUR mn<br />

8,000<br />

7,000<br />

6,000<br />

5,000<br />

4,000<br />

3,000<br />

2,000<br />

1,000<br />

0<br />

Revenue by Technology<br />

2011 2012 2013E 2014E 2015E 2016E<br />

I-Line KrF ArF Dry ArF Immersion EUV<br />

Unit mn<br />

250<br />

Shipment by Technology<br />

200<br />

150<br />

100<br />

50<br />

0<br />

2011 2012 2013E 2014E 2015E 2016E<br />

I-Line KrF ArF Dry ArF Immersion EUV<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

The main contributor to orders and revenue has shifted to the foundry/logic<br />

segment from memory since 2010. Foundry accounted for 45% of ASML’s order<br />

book and 60% of its revenue in 2012. We expect the trend to continue until<br />

memory investment recovers. Memory players Micron and SK Hynix have both<br />

indicated that they have only made conservative capacity additions apart from their<br />

node migration. Demand from the foundry/logic segment however is likely to<br />

remain solid, driven by strong growth in the consumer electronics market and<br />

increasing competition between Intel, Samsung, TSMC and others.<br />

43


ASML Holding NV<br />

Technology Hardware<br />

Figure 15: ASML booking/revenue by end-market<br />

100%<br />

Bookings by end market<br />

80%<br />

60%<br />

40%<br />

20%<br />

0%<br />

2011 2012<br />

IDM Foundry Memory<br />

Source: Company data<br />

Revenue by end market<br />

100%<br />

80%<br />

60%<br />

40%<br />

20%<br />

0%<br />

2011 2012<br />

IDM Foundry Memory<br />

Source: Company data<br />

ASML’s software and service segment comprises metrology products and holistic<br />

lithography software, designed to help customers optimise tool performance,<br />

achieve greater printed feature resolution and reduce overall production costs. As<br />

chip size scales down, ever higher levels of precision are required, which we believe<br />

will lead to increased demand for metrology and holistic software over the next few<br />

years as manufacturing processes become ever more complex.<br />

44


ASML Holding NV<br />

Technology Hardware<br />

Figure 16: ASML P&L overview<br />

2011 2012 2013E 2014E 2015E 2016E<br />

Tool revenue ex EUV 4,768 3,760 3,660 3,990 3,564 2,801<br />

EUV revenue 116 42 210 1,210 2,300 5,000<br />

Software & Service 767 930 984 1,060 1,140 1,190<br />

Cymer 0 0 180 320 340 355<br />

Total revenues 5,651 4,732 5,034 6,580 7,344 9,346<br />

Gross profit exEUV 2,451 2,005 1,957 2,207 2,041 1,736<br />

Gross margin ex-EUV 44.3% 42.7% 42.1% 43.7% 43.4% 43.5%<br />

Gross profit EUV 0 0 53 370 983 2,350<br />

Gross Margin EUV 0.0% 0.0% 25.0% 30.6% 42.7% 47.0%<br />

Gross profit Cymer- reported 0 0 -1 125 149 162<br />

Gross margin Cymer- reported 0.0% 0.0% -0.7% 39.0% 43.8% 45.7%<br />

Corporate Gross Profit - reported 2,451 2,005 2,008 2,702 3,172 4,249<br />

Corporate Gross Margin reported 43.4% 42.4% 39.9% 41.1% 43.2% 45.5%<br />

Corporate Gross Profit - adj 2,450 2,005 2,111 2,754 3,212 4,289<br />

Corporate Gross Margin -adj 43.3% 42.4% 41.9% 41.9% 43.7% 45.9%<br />

R&D - adj 590 589 875 920 800 760<br />

SG&A - ajd 219 259 295 304 280 260<br />

Other (income) expenses 0 0 -65 -120 -120 -120<br />

OPEX - Adj 808 848 1,105 1,104 960 900<br />

Op profit - reported 1,641 1,157 880 1,570 2,212 3,349<br />

opm - reported 29.0% 24.4% 17.5% 23.9% 30.1% 35.8%<br />

Op profit - adj 1,641 1,157 1,006 1,650 2,252 3,389<br />

opm - adj 29.0% 24.4% 20.0% 25.1% 30.7% 36.3%<br />

PBT - reported 1,649 1,151 870 1,570 2,212 3,349<br />

Tax Expense 182 4 62 126 177 335<br />

Effective Tax Rate % 11.0% 0.4% 7.2% 8.0% 8.0% 10.0%<br />

Net income - Reported 1,467 1,146 808 1,445 2,035 3,014<br />

Net income - adj 1,467 1,146 925 1,518 2,072 3,050<br />

No of Shares 426 424 420 417 413 410<br />

No. of shares diluted 429 427 424 421 417 414<br />

EPS - Reported 3.42 2.68 1.91 3.43 4.88 7.27<br />

EPS - adj 3.42 2.68 2.18 3.60 4.97 7.36<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

We estimate that ASML’s total revenue to be €5,034m in 2013, and grow at CAGR<br />

23% to reach €9,346m in 2016, driven by EUV tool shipment and high ASP. In<br />

2016, we expect EUV to contribute 54% of total revenue, with revenue from other<br />

tools declining over time.<br />

We estimate adjusted group gross margins will decline from 42.4% in 2012 to<br />

41.9% in 2013, primarily due to initial EUV shipment at below the group average<br />

gross margin (25%). We expect the group gross margin to improve over time due<br />

to 1) an EUV gross margin uptick, 2) increased group revenue level leading to<br />

leverage, and 3) increased services revenue and Cymer consolidation.<br />

45


ASML Holding NV<br />

Technology Hardware<br />

Strong cash and consistent dividends<br />

ASML’s €1.6bn of net cash (€3.8/share) at the end of Q2 2013 accounted for 5%<br />

of its market cap. The strong cash position will enable the company to explore all<br />

possible M&A opportunities, and more importantly, ensure a consistent level of<br />

R&D investment to maintain its technology leadership position.<br />

ASML generated €532m of free cash flow in 2012, down from €1,770m in 2011,<br />

due to a decrease in sales and EUV downpayments. We expect the timing of EUV<br />

downpayments may cause fluctuations in free cash flow in 2013 and 2014 before<br />

EUV ramps up in volume. Fluctuations in free cash flow fluctuation should end<br />

after 2015, when EUV can deliver a more consistent level of operating cash flow.<br />

Capital expenditure is guided up to €370m for 2013 (7.3% of 2013E sales), from<br />

€172m in 2012, due to EUV and 450mm facility expansion. We estimate capex will<br />

remain at a similar level until 2015, and return to €200m after all EUV- and<br />

450mm-related facility expansion is complete. The overall cash position will not be<br />

adversely impacted by the rising capex, as it will be offset by operating cash flow<br />

growth over time.<br />

ASML has a history of consistent dividend payouts as well as rising dividends. It<br />

raised 2012 dividends to €0.53/share, from €0.46/share in 2011, equal to a 20%<br />

payout ratio. If ASML distributes 17% (the average payout ratio in 2010-2012) of<br />

net income, we estimate that the dividend will increase to €1.3/share in 2016,<br />

which is a 2% dividend yield based on our price target.<br />

Figure 17: stable dividend payout<br />

8.00<br />

7.00<br />

6.00<br />

5.00<br />

4.00<br />

3.00<br />

2.00<br />

1.00<br />

25%<br />

20%<br />

15%<br />

10%<br />

5%<br />

0.00<br />

2011 2012 2013E 2014E 2015E 2016E<br />

EPS DPS Payout ratio<br />

0%<br />

Source: Company date, <strong>Berenberg</strong> estimates<br />

ASML began a share buyback programme in 2011. It has executed €1.13bn in share<br />

buybacks to date, and announced another €1bn repurchase for 2013-2014 in Q1<br />

2013.<br />

46


ASML Holding NV<br />

Technology Hardware<br />

Valuation<br />

Our price target of €73.00 is based on a P/E valuation. The price target of €73.00<br />

implies a 12x P/E based on EPS estimates of €7.4/share, discounted by a 10%<br />

WACC back to 2014. We adopted the EPS estimate which fairly reflects EUV’s<br />

earning power as EUV is the main growth driver for ASML, in our opinion. EUV<br />

revenue is expected to grow by a CAGR of 188% over the next three years, and<br />

contribute 54% of revenue and 55% of the gross profit in 2016. We estimate EUV<br />

shipments to be fully ramped up in 2016.<br />

ASML traded at 7x to 24x forward P/E during the semiconductor industry<br />

recovery cycle between end-2009 to 2011 (see Figure 18). We believe we have now<br />

entered another recovery cycle from the beginning of 2013, as noted by front-end<br />

players such as ASML, AMAT, TEL and KLA which have started to see order<br />

levels pick up. ASML was trading at 9x to 13x in June-September 2010, which was<br />

six months after the start of the last recovery cycle.<br />

Our P/E of 12x is 10% higher than the middle point of historical 10x-13x midrecovery<br />

cycle P/E. This premium is assigned to reflect ASML’s stronger<br />

competitive position compared to 2010. ASML held a 70% market share in 2010;<br />

we expect it to hold a more than 80% by 2016, and dominate the EUV market.<br />

Figure 18: 10% P/E premium for the market leader<br />

Source: ASML<br />

Source: Bloomberg data<br />

Figure 19: Peer group valuation<br />

Company Name PE EV/EBIT EV/Sales<br />

FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E<br />

NIKON CORP 14.12 13.42 12.25 9.83 8.28 8.53 0.82 0.80 0.87<br />

ASM INTL NV 27.03 14.02 13.19 50.89 10.49 13.21 3.13 1.91 1.52<br />

APPLIED MATERIAL 23.30 13.18 11.92 17.43 10.77 4.87 2.35 1.92 0.91<br />

KLA-TENCOR CORP 16.94 13.21 11.26 9.43 7.59 2.97 2.46 2.21 0.95<br />

LAM RESEARCH 20.99 11.64 10.15 14.73 8.28 2.90 1.74 1.44 0.58<br />

ULVAC INC na 10.21 7.36 25.28 12.27 15.08 0.82 0.75 1.04<br />

DAINIPPON SCREEN 28.32 14.13 14.41 67.36 11.63 13.66 0.76 0.70 0.81<br />

TOKYO ELECTRON 48.60 20.98 18.74 25.57 9.75 5.61 1.16 1.02 0.72<br />

Mean 22.41 13.85 12.41 27.57 9.88 8.35 1.66 1.34 0.92<br />

Median 22.14 13.32 12.09 21.36 10.12 7.07 1.45 1.23 0.89<br />

ASML HOLDING NV 25.04 15.67 12.17 21.81 10.74 2.10 4.80 3.73 0.67<br />

Source: Bloomberg data<br />

47


ASML Holding NV<br />

Technology Hardware<br />

Key risks<br />

1. EUV feasibility: If ASML and Cymer fail to improve EUV light power to the<br />

required 105-watt level before mid-2014, we believe its share price will be<br />

negatively affected.<br />

In our opinion, ASML/Cymer is the only player with the capability to deliver<br />

EUV tools with the required throughput level. The Cymer acquisition further<br />

increased the likelihood of ASML achieving the 105-watt target by mid-2014.<br />

Management retained its 105-watt light source power target in Q2 and<br />

indicated that it expected to achieve 80 watts by September/October 2013.<br />

2. <strong>Semiconductor</strong> spending pause: ASML’s future success depends on how<br />

aggressive chip-makers shrink and on demand for leading-edge chips. The<br />

consumer electronics devices market has been driving demand for smaller<br />

chips in the past few years, so any slowdown in this market will affect spend.<br />

In our opinion, the consumer electronics market is unlikely to slow down in<br />

next few years due to strong demand from developing countries; however, we<br />

do expect intensified competition. Intel, Samsung, Apple and fabless players<br />

are likely to use leading-edge chip technology as a differentiation factor.<br />

Therefore the chance of chip-makers pausing investment in leading technology<br />

is very low.<br />

3. Alternative technology: EUV is now seen as the most credible method to<br />

achieve further shrinkage; however, development of other technologies such as<br />

E Beam and DSA (directed self assembly) are still ongoing.<br />

In our opinion, alternative solutions like E BEAM, DSA are unlikely to replace<br />

EUV as the cost-effective solution for printing leading-edge node. E<br />

Beam/multi-beam is currently suffering significant throughput limitations. The<br />

throughput for a single machine is less than 1wph. DSA is a method of using<br />

block copolymer material to generate a repeatable pattern. As per Gartner data,<br />

DSA has the potential to significantly reduce lithography costs, as only single<br />

patterning is needed. It may potentially be used for 14nm, but the associated<br />

cost is prohibitive.<br />

48


ASML Holding NV<br />

Technology Hardware<br />

Company background<br />

ASML is the world’s leading provider of lithography systems for the semiconductor<br />

industry, and manufactures lithography tools that are critical to the production of<br />

integrated circuits or chips. It designs, develops, integrates, markets and services<br />

the lithography tools used by its customers. Customers include all major foundry,<br />

logic and memory chip-makers and IDMs.<br />

Figure 20: ASML’s customers<br />

Company<br />

Samsung<br />

Intel<br />

TSMC group<br />

SK Hynix<br />

Globalfoundries<br />

Toshiba/Sandisk<br />

UMC<br />

Micron<br />

Sony<br />

Infineon<br />

Source: ASML<br />

ASML has a broad product portfolio for lithography tools, and it regularly<br />

refreshes tools to deliver a high specification.<br />

Figure21: Product portfolio<br />

Industry<br />

Memory/Logic/Foundry<br />

Foundry/Logic<br />

Foundry<br />

Memory<br />

Foundry<br />

Memory<br />

Foundry<br />

Memory<br />

IDM<br />

IDM<br />

ASML Product porfolio:<br />

EUV Resolution Throughput start shipping<br />

TWINSCAN NXE:3300 22nm/18nm 2013:43wph 2013 Q2<br />

TWINSCAN NXE:3100 22nm/20nm 2013:43wph 2010 Q4<br />

ArFi<br />

TWINSCAN NXT:1970Bi Estimate 2014<br />

TWINSCAN NXT:1960Bi Estimate 2013<br />

TWINSCAN NXT:1950i 38 nm >=230wph, aim250wph 2009 Q3<br />

TWINSCAN XT:1950Hi 38 nm >=148 wph 2009 H1<br />

TWINSCAN XT:1900Gi (OLD) 40 nm >=131 wph 2007 July<br />

TWINSCAN XT:1700Fi (OLD) 45 nm >=122 wph 2006 Q1<br />

ArF<br />

TWINSCAN XT:1450H 65 nm >=162 wph 2007 Mid<br />

TWINSCAN XT:1450G 65 nm >=145 wph 2004<br />

KrF<br />

TWINSCAN XT:1000H 80 nm 2008 Mid<br />

TWINSCAN XT:860K 110 nm >=210 wph 2007/2008<br />

TWINSCAN XT:800K 120 nm >=220 wph 2007/2008<br />

TWINSCAN XT:875G(OLD) 90 nm >=150 wph 2007 H2<br />

TWINSCAN XT:870G(OLD) 110 nm >=150 wph 2007 H2<br />

I Line<br />

TWINSCAN XT:400K 350 nm >=220 wph 2008<br />

TWINSCAN XT:450G (OLD) 365 nm >=141 wph 2008 H2<br />

TWINSCAN XT:400G(OLD) 365 nm >=149 wph 2007 Nov<br />

Source: ASML data<br />

49


ASML Holding NV<br />

Technology Hardware<br />

ASML shareholder structure<br />

ASML has approximately 408m ordinary shares outstanding with a nominal value<br />

EUR0.09 each, listed at both NYSE Euronext Amsterdam and NASDAQ in New<br />

York. The free float percentage is 77.32%. The shareholder structure at end-2012<br />

end is shown below.<br />

Figure 22: Holding structure at end-2012<br />

Stichting<br />

Administratiekantoor<br />

TSMC/TSMC*<br />

5%<br />

Blackrock Inc<br />

6%<br />

Stichting<br />

Administratiekantoor<br />

MAKTSJAB/<br />

Intel*<br />

15%<br />

FMR LLC<br />

9%<br />

Other<br />

52%<br />

Capital Group<br />

International, Inc<br />

13%<br />

*Major shareholders have the same voting rights as other shareholders, with exception Intel and TSMC (and related<br />

foundations) in the Customer Co-Investment Program<br />

Source: ASML<br />

Customer co-investment programme<br />

In July 2012, ASML announced a customer co-investment programme to accelerate<br />

development of EUV and 450mm technology. Intel, Samsung and TSMC invested<br />

€4.4bn in total, for 23% of ASML’s share capital and €1.1bn in R&D funding. The<br />

shares issued under the programme only carry voting rights under exceptional<br />

circumstances, and are not transferable for two and a half years after issuance.<br />

The R&D contribution from Intel will be recognised through the revenue line after<br />

EUV shipment ramp-up. Samsung’s and TSMC’s R&D contribution will be<br />

recognised through operating income.<br />

We view the co investment programme as positive for both chip-makers and<br />

ASML. For ASML, it demonstrates that customers recognise EUV as Moore’s Law<br />

enabler, and that ASML has a leading position in this field. For chip-makers, the<br />

investment contribution helps ASML deliver a clearer and accelerated roadmap for<br />

EUV and 450mm.<br />

Management<br />

CEO Peter Wennink<br />

Peter Wennink became CEO in July 2013. Previously, he was executive vice<br />

president, CFO and a member of the management board from 1999.<br />

50


ASML Holding NV<br />

Technology Hardware<br />

Financials<br />

Profit and loss account<br />

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E 2016E<br />

Sales 5,651.0 4,732.4 5,034.2 6,579.7 7,343.8 9,345.8<br />

Cost of sales 3,201.4 2,727.5 2,923.6 3,825.6 4,131.4 5,057.2<br />

Gross profit 2,449.6 2,004.9 2,110.6 2,754.1 3,212.4 4,288.6<br />

Selling, General and Administrative Expenses 218.5 259.3 294.5 304.0 280.0 260.0<br />

Research and development 589.9 588.7 874.8 920.0 800.0 760.0<br />

Other operating expenses 0.0 0.0 -64.5 -120.0 -120.0 -120.0<br />

Unusual or infrequent items 0.0 0.0 126.2 80.0 40.0 40.0<br />

EBIT 1,641.2 1,156.9 879.6 1,570.1 2,212.4 3,348.6<br />

Interest expenses -7.4 6.2 9.3 0.0 0.0 0.0<br />

Extraordinary income/loss 0.0 0.0 0.0 0.0 0.0 0.0<br />

EBT 1,648.6 1,150.7 870.3 1,570.1 2,212.4 3,348.6<br />

Taxes 181.6 4.3 62.3 125.6 177.0 334.9<br />

Net income from continuing operations 1,467.0 1,146.4 807.9 1,444.5 2,035.4 3,013.8<br />

Income from discontinued operations (net of tax) 0.0 0.0 0.0 0.0 0.0 0.0<br />

Net income 1,467.0 1,146.4 807.9 1,444.5 2,035.4 3,013.8<br />

Minority interest 0.0 0.0 0.0 0.0 0.0 0.0<br />

Net income (net of minority interest) 1,467.0 1,146.4 807.9 1,444.5 2,035.4 3,013.8<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

51


ASML Holding NV<br />

Technology Hardware<br />

Balance sheet<br />

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E 2016E<br />

Intangible assets 154.4 159.1 2,776.1 2,729.0 2,682.0 2,635.0<br />

Property, plant and equipment 1,053.6 1,029.9 1,335.1 1,537.0 1,698.8 1,830.6<br />

Deferred taxe asset (LT) 38.7 39.4 52.5 52.5 52.5 52.5<br />

Other assets 307.3 350.2 309.6 309.6 309.6 309.6<br />

Fixed Assets 1,554.0 1,578.6 4,473.3 4,628.1 4,742.9 4,827.7<br />

Liquid assets 2,731.8 1,768.0 1,285.2 1,719.0 3,196.2 5,348.7<br />

Inventories 1,624.6 1,857.0 3,203.4 3,328.1 3,692.7 3,950.5<br />

Accounts receivable 880.6 605.3 956.3 1,120.4 1,042.3 1,213.9<br />

Current income tax assets 231.7 1,233.1 1,222.5 1,222.5 1,222.5 1,222.5<br />

Current Assets 5,706.8 5,832.3 6,959.7 7,682.2 9,446.0 12,027.8<br />

TOTAL 7,260.8 7,410.9 11,433.0 12,310.3 14,188.9 16,855.5<br />

Shareholders' equity 3,444.2 4,066.9 6,842.5 7,692.7 9,221.2 11,636.2<br />

Minority interest 0.0 0.0 0.0 0.0 0.0 0.0<br />

Bonds (long term) 0.0 0.0 0.0 0.0 0.0 0.0<br />

Deferred taxes 849.8 501.4 654.8 654.8 654.8 654.8<br />

Long-term debt 733.8 755.9 735.6 735.6 735.6 735.6<br />

Other liabilities 0.0 0.0 0.0 0.0 0.0 0.0<br />

Non-current liabilities 3,444.2 4,066.9 6,842.5 7,692.7 9,221.2 11,636.2<br />

Current liabilities 2,233.0 2,086.3 3,200.2 3,227.2 3,577.3 3,828.9<br />

TOTAL 7,260.8 7,410.5 11,433.0 12,310.3 14,188.9 16,855.5<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

Cash flow statement<br />

EUR m 2011 2012 2013E 2014E 2015E 2016E<br />

Net profit/loss 1,467 1,146 828 1,445 2,035 3,014<br />

Depreciation and Amortisation 165 187 211 235 235 235<br />

Changes in deferred taxes 63 -120 0 0 0 0<br />

Other 89 204 222 247 247 247<br />

Change in working capital 286 -713 -561 -262 64 -178<br />

Cash flow from operating activities 2,071 704 700 1,665 2,581 3,318<br />

Capex -301 -172 -370 -390 -350 -320<br />

Income from asset disposals 0 0 0 0 0 0<br />

Other cash flow from investing activities 0 -948 -236 0 0 0<br />

Cash flow from investing activities -301 -1,120 -606 -390 -350 -320<br />

Long term debt issuance 2 0 0 0 0 0<br />

Loan and receivable repayments -2 -3 -2 0 0 0<br />

Purchase of own shares -669 3,638 -357 -620 -500 -500<br />

Dividends paid -173 -189 -216 -221 -254 -347<br />

Others -150 -3,992 0 0 1 2<br />

Cash flow from financing activities -992 -546 -575 -841 -754 -846<br />

Effects of exchange rate changes on cash 4 -2 1 0 0 0<br />

Increase/decrease in liquid assets 0 0 0 0 0 0<br />

Liquid assets at end of period 2,732 1,768 1,285 1,719 3,196 5,349<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

52


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Front-end business undervalued<br />

• We initiate coverage on ASMI with a Buy recommendation and<br />

a price target of €31. ASMI supplies deposition equipment which is<br />

used in the chip fabrication process; its ALD and epitaxy tool are<br />

essential in manufacturing leading-edge chips. We are buyers for the<br />

following reasons: 1) we expect the ALD market (60% revenue) to<br />

grow at a CAGR of 20% to €700m over the next three years, driven<br />

by the new chip designs; 2) ASMI holds a 44% market share of the<br />

ALD market, and we believe it will maintain this as its comprehensive<br />

intellectual property (IP) portfolio has created high entry barriers for<br />

other players; 3) following the release of its Intrepid XP epitaxy tool,<br />

ASMI may receive orders from Intel due to its strong relationship<br />

with Intel and Intel’s dual sourcing policy; 4) its 40% holdings in ASM<br />

Pacific Technology Ltd (ASMP) accounts for 65% of ASMI’s share<br />

value as per our SOTP valuation. We expect holdings to decrease over<br />

time and this will act as a catalyst for the stock.<br />

• Key debates: 1) What is the value of ASMI front-end business? 2)<br />

will ASMI dispose of more ASMP shares?<br />

1. AMAT and Francisco Partners offered ASMI $800m for its<br />

front-end business in 2008. We believe the front-end business is<br />

worth more than $800m today ($949m/€730m as per our<br />

valuation) as ASMI is in a better position than it was in 2008,<br />

given it has gained a 6% ALD market share, and it may gain an<br />

Intel order with its newly launched epitaxy tool.<br />

2. ASMI has taken down its position from a controlling 53% to<br />

40% in Mar 13, .i.e. from a consolidated to a below the line item.<br />

This in our opinion was a 1st step, i.e. no longer have control of<br />

ASMP’s business. The lock up period will expires in September;<br />

we think further disposal will be likely thereafter.<br />

• Our revenue forecasts for in line with consensus, and our EPS are<br />

10%, 8% and 10% above consensus for 2013, 2014 and 2015. We<br />

believe that the gross margin will increase as a result of top-line<br />

growth, and that ASMI can offset its increasing R&D levels with costsavings.<br />

• Our €31 price target is based on an SOTP valuation. We value ASMI’s<br />

front-end operation at €11/share by applying a 14x P/E multiple on<br />

2014 EPS excluding ASMP at €0.81. The 14x P/E multiple is the<br />

average P/E of its peers excluding TEL, as TEL usually trades at a<br />

P/E premium due to its strong cash position. We value the back-end<br />

operation based on ASMP’s listed price, applying a 5% holding<br />

discount.<br />

Y/E 31.12., EUR m 2011 2012 2013E 2014E 2015E<br />

Sales 1,634 1,418 559 477 499<br />

EBIT 366 88 25 61 68<br />

Net profit 187 7 1,470 125 133<br />

Y/E net debt (net cash) -191 -206 -252 -363 -475<br />

EPS (GAAP) 3.12 0.15 22.98 1.95 2.09<br />

EPS (Proforma) 2.38 0.23 0.95 1.95 2.09<br />

CPS 3.93 0.76 0.25 2.03 1.68<br />

DPS 0.36 0.49 4.78 0.00 0.00<br />

Gross margin 35.6% 31.1% 33.9% 40.0% 41.0%<br />

EBIT margin 22.4% 6.3% 4.6% 12.8% 13.7%<br />

Dividend yield 1.4% 1.8% 17.6% 0.0% 0.0%<br />

ROCE 22.6% 1.8% 3.3% 6.2% 6.1%<br />

EV/sales 0.8 0.9 2.4 2.8 2.7<br />

EV/EBIT 3.6 15.0 52.4 21.8 19.4<br />

P/E 8.8 183.2 1.2 14.1 13.2<br />

Source: Company data, <strong>Berenberg</strong><br />

Buy (initiation)<br />

Rating system<br />

Current price<br />

EUR 27.49<br />

Absolute<br />

Price target<br />

EUR 31.00<br />

19/07/2013 Amsterdam Close<br />

Market cap EUR 1,736 m<br />

Reuters ASMI.AS<br />

Bloomberg ASM NA<br />

Share data<br />

Shares outstanding (m) 63<br />

Enterprise value (EUR m) 1,461<br />

Daily trading volume 244,210<br />

Performance data<br />

High 52 weeks (EUR) 31<br />

Low 52 weeks (EUR) 24<br />

Relative performance to SXXP AEX<br />

1 month 0.7 % -2.2 %<br />

3 months 10.2 % 6.6 %<br />

12 months -35.9 % -30.1 %<br />

Key data<br />

Price/book value 0.9<br />

CAGR sales 2011-2014 -29.4%<br />

CAGR sales 2012-2015 140.5%<br />

Business activities:<br />

ASMI is a leading supplier of semiconductor<br />

equipment, materials and process solutions for<br />

the wafer processing industry. It supplies<br />

equipment used for the deposition process.<br />

Non-institutional shareholders:<br />

Arthur Del Prado 18%<br />

22 July 2013<br />

Tammy Qiu<br />

Analyst<br />

+44 20 3207 2673<br />

tammy.qiu@berenberg.com<br />

Jean Beaubois<br />

Specialist Sales<br />

+44 20 3207 7835<br />

jean.beaubois@berenberg.com<br />

53


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Investment summary<br />

We base our investment thesis on Buy-rated ASMI on the following five points.<br />

1. We expect the ALD market to reach €700m in the next three years:<br />

ASMI generates 60% of its revenue from the ALD market. We expect the<br />

addressable market to expand to €700m (a rise of c20%) in the next three<br />

years, driven by increasing HKMG adoption in foundries and logic. In 2012,<br />

the HKMG process was only adopted by Intel (100% of 22nm capacity),<br />

TSMC (60% of 28nm capacity) and Samsung (100% of 32nm capacity, 0% of<br />

28nm capacity). We expect TSMC, Samsung and other tier two foundries<br />

(such as Globalfoundries and UMC) to increase their HKMG-based capacity<br />

significantly in the next two years because HKMG is essential for building<br />

20nm/below chips. We do not expect ASMI to lose market share: it has<br />

accumulated a comprehensive IP portfolio on ALD technology. TEL, the only<br />

other main player in this market, currently licenses ASMI’s IP to make ALD<br />

tools.<br />

2. Market expansion driven by new epitaxy tools: ASMI’s previous epitaxy<br />

tool (17% of revenue) only addressed the power devices and analog markets;<br />

however, in 2012, it released a new epitaxy tool model (Intrepid XP) that<br />

targeted the logic market. We expect the epitaxy market to expand to €500m<br />

in the next three years from €423m today (a rise of c9% per year). Given<br />

ASMI’s strong relationship with Intel and Intel’s dual-sourcing policy, we<br />

believe that ASMI could gain orders from Intel and serve as its secondary<br />

supplier, after AMAT. We believe its market share may, therefore, expand<br />

from the current 10% level to 11% in 2015.<br />

3. ASMI’s front-end business worth more than $800m today: AMAT and<br />

Francisco Partners offered to acquire ASMI’s front-end business for $800m in<br />

2008. The price looked expensive due to a private equity buyout valuation<br />

premium before the financial crisis. We believe the front-end business is worth<br />

more than $800m today (worth $949m as per our valuation) because it is in a<br />

better position than it was in 2008. This is because: 1) ASMI’s market share in<br />

the ALD market has risen by 6% since 2008 to 44% in 2012; 2) 3D NAND<br />

will boost PE CVD (14% of revenue) in 2014/15, an opportunity that did not<br />

exist in 2008; and 3) ASMI could gain epitaxy orders with its newly launched<br />

tool and increase its exposure to strong logic capex.<br />

4. Further ASMP share disposal is a catalyst given investor frustration:<br />

ASMI’s share price is affected by its holding in ASMP, a non-strategic asset.<br />

We think that management has aligned its interest with shareholders (as<br />

demonstrated by the founder’s comments at the 2012 AGM). ASMI has<br />

already sold down a 12% tranche, and we expect it to sell further tranches<br />

following the September lock-up expiry. The market has been disappointed<br />

that the full stake was not disposed of. Our view is that a structured selling of<br />

the holding is the optimal approach as a better value can be realised.<br />

5. SOTP – 65% of ASMI’s share value comes from ASMP: In our SOTP,<br />

65% of ASMI’s share value comes from its 40% holding in ASMP, which we<br />

value based on ASMP’s share price after applying a 5% holding discount. We<br />

value ASMI’s front-end business at €10/share by applying 14x P/E on 2014<br />

EPS of €0.81.<br />

54


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Figure 1: Valuation matrix<br />

ROE*<br />

Dividend<br />

yield*<br />

FCF yield* Net cash/marcap<br />

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%<br />

No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%<br />

No.3 SUSS 9.1% ASML 0.9% ASML 4.5% AMAT 16.7%<br />

No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%<br />

No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%<br />

* based on <strong>Berenberg</strong> estimation of 2014 performance<br />

Net cash based on 2012 YE balance<br />

Source: <strong>Berenberg</strong> estimation, company data<br />

Based on our 2014 estimates, ASMI’s ROE is 6.2%, which is lower than that of<br />

ASML, AMAT, SUSS and TEL. It does not have a stable dividend policy in place.<br />

Its free cash flow yield is 6.2%, which is lower than SUSS and AMAT.<br />

Key catalysts<br />

The following are the key catalysts for the stock.<br />

● ASMP share disposal: In our opinion, there is little synergistic or strategic<br />

reason for keeping ASMP shares, as ASMP was operated independently from<br />

the beginning. We think that a structured selling of the holding will be positive<br />

for the share price.<br />

● Order intake recovery in the coming months: We believe ASMI is likely to<br />

announce further order growth in the next six to 12 months, driven by the<br />

semiconductor cycle recovery and ALD orders as chip-makers are expanding<br />

their leading-edge chip manufacturing capacity.<br />

● New epitaxy orders from logic: In our opinion, ASMI may not gain much<br />

market share from AMAT in the epitaxy market, but it could become a<br />

secondary supplier to Intel, given Intel’s dual-sourcing policy.<br />

Key risks<br />

The following are the key risks for the stock.<br />

● Leading-edge chip technology-related spending pause: We do not expect<br />

the capex on the most advanced chip technology to pause until 2017/2018,<br />

when we expect consumer electronic devices demand from emerging markets to<br />

become saturated.<br />

● Availability of alternative technology: We are not yet concerned about the<br />

threat from alternatives to ALD such as PE CVD, as PE CVD is currently<br />

lagging ALD in terms of its ability to achieve the required layer uniformity level.<br />

55


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Strong fundamentals unrecognised<br />

Consensus focus on front-end implied valuation<br />

The main focus of consensus lies on ASMI’s implied valuation for its front-end<br />

business, which has had a negative market cap since 2007 (see Figure 2). As a<br />

result, the pair trade of long ASMI/short ASMP was well known and widely<br />

discussed among investors, who predicted that ASMI’s share price would re-rate<br />

after it divests its ASMP holdings, and that ASMP’s share price would slump upon<br />

ASMI’s disposal.<br />

Figure 2: ASMI had negative market cap since 2007<br />

EUR bn<br />

2<br />

1.8<br />

1.6<br />

1.4<br />

1.2<br />

1<br />

0.8<br />

0.6<br />

0.4<br />

0.2<br />

0<br />

160%<br />

140%<br />

120%<br />

100%<br />

80%<br />

60%<br />

40%<br />

20%<br />

0%<br />

Source: Bloomberg data<br />

ASMI marcap<br />

ASMP as % of ASMI marcap<br />

In March 2013, ASMI divested 12% of its holding in ASMP, and ASMI’s share<br />

price fell by as much as 24% in the two months following the disposal. The slump<br />

occurred because 1) the market was expecting ASMI to sell more than 12% of its<br />

ASMP holdings and 2) investors were concerned about when and even if there will<br />

be further share disposals.<br />

We believe the market currently has a low expectation of further divestment once<br />

the lock-up period expires in September 2013, and a further disposal could be a<br />

catalyst for ASMI’s share price. However, we also analyse ASMI from a different<br />

angle. We believe its front-end business will also drive strong performance in the<br />

long run and make the stock an attractive investment.<br />

New chip designs trending in ASMI’s favour<br />

ASMI has a solid front-end business that generated 91% of its revenue from the<br />

deposition market in 2012, according to data from market researcher Gartner.<br />

While the total deposition market will grow by c5% between 2012 and 2017<br />

according to Gartner data, we believe that the ALD, PE CVD and epitaxy subsectors<br />

will outperform the total deposition market.<br />

AMAT dominates the deposition market, while ASMI’s market share has always<br />

been small: its market share in 2012 was c5.2% (see Figure 2). Compared with<br />

AMAT and LAM, which supply a broad range of deposition equipment, ASMI<br />

focuses on specific segments, including ALD, epitaxy and PE CVD. We expect<br />

ASMI to benefit from the new generation of mainstream chip designs, which<br />

include 20nm/10nm HKMG, FinFET and 3D NAND, given that 1) its ALD tool<br />

is essential in leading-edge chip manufacturing processes, such as HKMG, 2) its<br />

epitaxy tool is required in the manufacturing of FinFET chips and 3D NAND, and<br />

56


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

3) the ramp-up in 3D NAND may drive PE CVD tool demand.<br />

Figure 3: Deposition market share<br />

100.0%<br />

90.0%<br />

80.0%<br />

70.0%<br />

60.0%<br />

50.0%<br />

40.0%<br />

30.0%<br />

20.0%<br />

10.0%<br />

0.0%<br />

2012 2011 2010 2009 2008<br />

AMAT TEL LAM/Novellus Hitachi ASMI Veeco Aixtron<br />

Source: Gartner data<br />

ALD will grow as HKMG adoption increases<br />

ASMI generates 70% of its front-end revenue from ALD equipment sales. We<br />

expect robust ALD growth due to the increased adoption of the HKMG process.<br />

The HKMG process is currently only used in some 28nm solutions but it will<br />

become mandatory for 20nm and smaller nodes, as it increases the transistor<br />

capacitance and allow chips to function with reduced power needs. The ALD<br />

process enables chip-makers to form thin films atom by atom, which enables the<br />

precise chip-manufacturing process required by advanced nodes. It offers better<br />

uniformity, surface roughness and thickness control than other solutions.<br />

Currently, Intel, TSMC and Samsung have adopted HKMG for some products.<br />

Globalfoundries has limited HKMG for 28nm, and UMC is due to ramp up<br />

HKMG 28nm in 2014 at the earliest. We expect that HKMG will be used by more<br />

logic/foundries as they scale down to 20nm and below. At the same time, HKMG<br />

layers will increase with shrinkage.<br />

We expect the ALD market to grow by a CAGR of 20% pa in next three years,<br />

versus the total deposition market grow which will grow by 6% during the same<br />

period according to Gartner’s estimates.<br />

Figure 4: ALD growth versus total deposition market<br />

$mn<br />

9,000<br />

8,000<br />

7,000<br />

6,000<br />

5,000<br />

4,000<br />

3,000<br />

2,000<br />

1,000<br />

0<br />

2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E<br />

ALD Deposition ALD/deposition<br />

12.0%<br />

10.0%<br />

8.0%<br />

6.0%<br />

4.0%<br />

2.0%<br />

0.0%<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

57


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

ASMI shares the ALD market with TEL/Hitachi (see Figure 5). We expect ASMI<br />

to maintain its high market share because: 1) it has already established a strong<br />

relationship with the mainstream foundry/logic players, all of which we believe<br />

have committed to using ASMI’s ALD tools; 2) ASMI has, since it became the first<br />

player in this market in 1999, built a comprehensive ALD-related IP portfolio that<br />

has raised the ALD market’s entry barriers; and 3) TEL is not really a competitor<br />

for ASMI because it only has batch ALD systems, while ASMI is more focused on<br />

single-wafer processing equipment.<br />

TEL licenses its ALD IP from ASMI and focuses on batch ALD equipment used<br />

in memory-makers. The only reason ASMI licensed its ALD IP to TEL is because<br />

the batch system is mainly used by a memory-maker with which TEL has a strong<br />

relationship, and is therefore hard for ASMI to penetrate. ASMI’s management has<br />

made a strong commitment to remain the leader in ALD technology and it has<br />

dedicated a further eight years R&D to turning ALD into a process that can be<br />

used reliably and efficiently by advanced semiconductor chip-makers.<br />

Figure 5: ALD market share<br />

100%<br />

80%<br />

60%<br />

40%<br />

20%<br />

0%<br />

2008 2009 2010 2011 2012<br />

ASMI<br />

TEL/Hitachi<br />

Source: Gartner data<br />

Epitaxy may open up new opportunities<br />

ASMI’s current epitaxy exposure is concentrated on power devices and analog<br />

end-markets. In 2012, it released Intrepid XP, which targets the logic market. The<br />

epitaxy process is used extensively in FinFET and 3D NAND manufacturing. Its<br />

growth is, therefore, likely to be solid because all logic/foundries are releasing<br />

FinFET designs in 2013/2014 while 3D NAND will be in mass production from<br />

the end of 2014 and will mature in 2015 (see Figure 6).<br />

Figure 6: Epitaxy growth versus total deposition market<br />

$mn<br />

9,000<br />

8,000<br />

7,000<br />

6,000<br />

5,000<br />

4,000<br />

3,000<br />

2,000<br />

1,000<br />

-<br />

2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E<br />

Epitaxy Deposition Epi/deposition<br />

12.0%<br />

10.0%<br />

8.0%<br />

6.0%<br />

4.0%<br />

2.0%<br />

0.0%<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

58


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

AMAT holds a dominant 89% share in the global epitaxy market (see Figure 7) and<br />

ASMI currently holds a 9% share. ASMI only addresses the power devices and<br />

analog markets, which are highly cyclical and low-growth (the analog market fell by<br />

4.8% from 2011 to 2012). We do not expect it to gain significant market share<br />

from AMAT because AMAT is already well established with logic/foundry players.<br />

However, we believe that ASMI may be able to serve as a second supplier to Intel<br />

after AMAT, given its strong relationship with Intel.<br />

Figure 7: Epitaxy market share<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

2008 2009 2010 2011 2012<br />

Applied Materials ASM International<br />

Source: Gartner data<br />

PE CVD boost by 3D NAND<br />

PE CVD equipment is mainly used in building interconnecting layers in the chip<br />

manufacturing process. We believe the 3D NAND design which requires multilayer<br />

stack deposition is likely to boost the demand for PE CVD equipment. The<br />

roadmap of main NAND vendors shows that 3D NAND is likely to be<br />

manufactured in volume in 2015 after ramping up at the end of 2014.<br />

Gartner forecasts that the PE CVD market will grow by c5.4% between 2012 and<br />

2017, versus total deposition market growth of 5.0% during the same period (see<br />

Figure 8 below).<br />

Figure 8: PE CVD growth versus total deposition market<br />

$mn<br />

10,000<br />

9,000<br />

8,000<br />

7,000<br />

6,000<br />

5,000<br />

4,000<br />

3,000<br />

2,000<br />

1,000<br />

0<br />

2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E<br />

PE CVD Deposition PE CVD/deposition<br />

24.0%<br />

23.0%<br />

22.0%<br />

21.0%<br />

20.0%<br />

19.0%<br />

18.0%<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

AMAT and LAM/Novellus are the biggest players in the PE CVD market, and we<br />

do not expect ASMI’s market share to change significantly. However, it may still<br />

benefit from the market’s growth in absolute revenue terms (see Figure 9).<br />

59


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Figure 9: PE CVD market share<br />

100.0%<br />

90.0%<br />

80.0%<br />

70.0%<br />

60.0%<br />

50.0%<br />

40.0%<br />

30.0%<br />

20.0%<br />

10.0%<br />

0.0%<br />

Source: Gartner data<br />

2008 2009 2010 2011 2012<br />

AMAT ASMI Novellus /LAM<br />

60


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Key catalysts<br />

In our view, the following events could be catalysts for the stock.<br />

5. ASMP share disposal: ASMI’s share price fell by 24% over the two months<br />

following its divestment of 12% of ASMP in March 2013. Given the market<br />

has very low expectations of further divestment in September; we believe the<br />

share price will rise if ASMI does divest more.<br />

In our opinion, ASMP was operated independently from the beginning, and<br />

there is little synergistic or strategic reason for keeping ASMP shares, given<br />

that its end-market exposure does not overlap with ASMI’s. Therefore ASMI<br />

may dispose of more ASMP shares in the next two years, if not in September<br />

2013.<br />

6. Order intake recovery: As a semiconductor equipment vendor, ASMI’s order<br />

level is cyclical and subject to the capex level of chip-makers. Signs of an order<br />

recovery will ultimately lead to higher revenue and profitability, and will<br />

therefore be positive for the share price.<br />

In our opinion, ASMI will see an order recovery in the next 6 to 12 months. Its<br />

front-end peers ASML, AMAT, KLA and TEL have all started to see order<br />

intake growth from the beginning of 2013. Apart from the cycle-recoverydriven<br />

order increase, ASMI’s ALD orders are likely to be fuelled by leading<br />

foundries expanding their HKMG process-adoption rate in the next two years,<br />

and tier two foundries may follow from 2014.<br />

7. New Intel order for epitaxy product: ASMI’s epitaxy equipment previously<br />

addressed the analog and power management markets, which are highly cyclical<br />

and have a low growth rate (the analog market fell by 4.8% in 2012). In 2012,<br />

ASMI released its Intrepid XP epitaxy model that targeted the logic segment.<br />

We expect its share price to react positively if it wins market share in logic,<br />

which would give it exposure to new opportunities, such as FinFET design.<br />

AMAT dominates the global epitaxy market with an 89% market share. We<br />

believe it is unlikely that ASMI will win significant share from AMAT<br />

overnight, given that AMAT is well established with the big customers.<br />

However, ASMI may gain some small orders as the secondary supplier to Intel,<br />

with which it has a strong relationship.<br />

61


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Valuation<br />

ASMI’s valuation used to be dominated by its subsidiary, ASMP, with a negative<br />

valuation assigned to the front-end business (see Figure 10). In March 2013, ASMI<br />

reduced its holding in ASMP to 40%; ASMP now contributes 78% of ASMI’s<br />

market capitalisation.<br />

Figure 10: ASMI market cap versus ASMI’s ASMP shareholding<br />

EUR bn<br />

2<br />

1.8<br />

1.6<br />

1.4<br />

1.2<br />

1<br />

0.8<br />

0.6<br />

0.4<br />

0.2<br />

0<br />

160%<br />

140%<br />

120%<br />

100%<br />

80%<br />

60%<br />

40%<br />

20%<br />

0%<br />

Source: Bloomberg data<br />

SOTP valuation<br />

ASMI marcap<br />

ASMP as % of ASMI marcap<br />

Figure 11: Peer group valuation<br />

Company Name PE EV/EBIT EV/Sales<br />

FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E<br />

NIKON CORP 14.12 13.42 12.25 9.83 8.28 8.53 0.82 0.80 0.87<br />

APPLIED MATERIAL 23.30 13.18 11.92 17.43 10.77 4.87 2.35 1.92 0.91<br />

ASML HOLDING NV 25.04 15.67 12.17 21.81 10.74 2.10 4.80 3.73 0.67<br />

KLA-TENCOR CORP 16.94 13.21 11.26 9.43 7.59 2.97 2.46 2.21 0.95<br />

LAM RESEARCH 20.99 11.64 10.15 14.73 8.28 2.90 1.74 1.44 0.58<br />

ULVAC INC 0.00 10.21 7.36 25.28 12.27 15.08 0.82 0.75 1.04<br />

DAINIPPON SCREEN 28.32 14.13 14.41 67.36 11.63 13.66 0.76 0.70 0.81<br />

TOKYO ELECTRON 48.60 20.98 18.74 25.57 9.75 5.61 1.16 1.02 0.72<br />

Mean 22.16 14.06 12.28 23.93 9.91 6.97 1.86 1.57 0.82<br />

Median 22.14 13.32 12.04 19.62 10.25 5.24 1.45 1.23 0.84<br />

Mean excl TEL 18.39 13.07 11.36<br />

Median excl TEL 20.99 13.21 11.92<br />

ASM INTL NV 27.03 14.02 13.19 50.89 10.49 13.21 3.13 1.91 1.52<br />

Source: Bloomberg data<br />

Our SOTP valuation of ASMI is based on the value of ASMI’s holding in ASMP<br />

and the front-end business. Our valuation of ASMI’s share of ASMP reflects<br />

ASMP’s listed price. We apply a 5% liquidation discount to ASMI’s shareholding in<br />

ASMP. We value ASMI’s front-end business based on a P/E valuation of 14x 2014<br />

adjusted EPS, excluding ASMP’s earning contribution.<br />

ASMI currently holds 40% of ASMP, compared with 53% before March 2013. The<br />

14x P/E is the mean P/E multiple of its peers for 2014 (see Figure 12) excluding<br />

TEL. We excluded TEL from mean calculation because it trades at a premium<br />

compared with its peers, due to its strong cash balance.<br />

62


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Figure 12: SOTP valuation<br />

ASMI SOTP valuation:<br />

ASMI's ASMPT share<br />

ASMI's holding 40%<br />

ASMP's 40% marcap (Eur mn) 1,352<br />

Valuation/ ASMI share (Eur ) 21<br />

5% holding discount 20<br />

ASMI Front end value<br />

EPS 2014 ex ASMP contribution (Eur) 0.81<br />

No. of shares (mn) 64<br />

PE<br />

14x<br />

Front end valuation (€ mn) 730<br />

Total valuation (Eur mn) 2,015<br />

Price per share (Eur) 31<br />

Source: <strong>Berenberg</strong> estimate, Bloomberg data<br />

Worth more than $800m today<br />

In 2008 June, AMAT and Francisco Partners offered to acquire ASMI’s front-end<br />

business for $800m, of which $400m-500m was assigned to the ALD and PE<br />

CVD segments. The price looked expensive due to a private equity buyout<br />

valuation premium before the financial crisis. The offer was based on roughly 1.5x<br />

total sales. The ALD and PE CVD segments contributed 47% of front-end<br />

revenue at that time, according to Gartner data. The transaction was, however,<br />

terminated because of the financial crisis.<br />

In our opinion, ASMI is in a better position today than it was in 2008. We believe<br />

it is currently worth more than $800m ($949m) (see Figure 13), while the ALD and<br />

PE CVD businesses are worth more than $400m-500m.<br />

Figure 13: Front-end business worth $800m today<br />

Amount<br />

Price offered in 2008 June $mn 800<br />

Comment<br />

Our valuation today €mn 730 Our SOTP valuation<br />

@FX rate today €/$1.3 $mn 949 18% higher than $800mn<br />

@FX rate 2008 June €/$1.6 $mn 1168 46% higher than $800mn<br />

Source: <strong>Berenberg</strong> estimate, Bloomberg data<br />

We believe ASMI is in a better position today than it was in 2008 for the following<br />

reasons.<br />

1) ASMI’s share of the ALD market has risen from 38% in 2008 to 44% today.<br />

It is unlikely to lose market share in the future as its strong IP portfolio has<br />

created a high entry barrier and TEL is not competing with ASMI in the same<br />

end-market. The visibility of ALD’s growth potential is much higher today<br />

than it was five years ago, because all mainstream logic/foundry players are<br />

adopting HKMG solutions in their advanced chip manufacturing processes.<br />

2) In 2014/2015, PE CVD growth will be fuelled by 3D NAND, which is a<br />

growth driver that did not exist in 2008. PE CVD is expected to benefit from<br />

3D NAND ramping up as the number of layers of the chip increase<br />

significantly.<br />

3) ASMI could gain logic customers through the epitaxy tool it released in 2012.<br />

This would bring extra upside.<br />

63


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Figure 14: ASMI is better positioned today<br />

$mn 2008 2012 Change Market share change Comment<br />

Deposition 252 309 23% Flat<br />

Stayed at 5.2% deposition market<br />

share.<br />

PE CVD 82 44 -47% Down from 6% to 3% Lost share to LAM.<br />

ALD 76 187 146% Up from 38% to 44%<br />

Remain dominant, higher visibility<br />

on market growth compared to<br />

2008.<br />

LP CVD 49 26 -46% Down from 5% to 3% Lost share to Hitachi<br />

Epitaxy 45 53 17% Down from 18% to 10%<br />

Market share down as ASMI only<br />

focus on low growth analog and<br />

power devices applications. It may<br />

gain market share from AMAT with<br />

new equipment launched which<br />

targets logic players.<br />

Source: Gartner data, <strong>Berenberg</strong> estimation<br />

The market is concerned that the industry will not see memory capex expand at the<br />

rate it did 2010. If this is correct, ASMI will lose some of its upside for PE CVD,<br />

which is used in memory-makers. Our response, however, is that although the<br />

memory market expanded in 2010 it has since pulled back, and PE CVD is not<br />

ASMI’s main exposure. The focus should be on the ALD division, where it<br />

generates the majority of its revenue.<br />

As ASMI is in a better position today than it was in 2008, we believe the ALD and<br />

PE CVD segment is now worth more than $400m-500m compared with 2008. In<br />

our analysis below, the combined value of these two businesses is at least $575m<br />

based on current FX rates. This value is 13% higher than the high end of the<br />

AMAT and Francisco Partners offer, and is worth 41% more than the high-end of<br />

that offer once the June 2008 FX rate is factored in.<br />

Figure 15: ALD and PE CVD worth more today<br />

Amount<br />

Comment<br />

Price offered in 2008 June $mn 400-500 for ALD, PECVD business<br />

ALD, PECVD % of revenue in 2012 $mn 74% As per Gartner data<br />

2013 group revenue €mn 398 <strong>Berenberg</strong> estimate<br />

2013 ALD, PECVD revenue 2013 €mn 295 Assume 74% from ALD and PECVD<br />

Value @1.5x sales €mn 442 In line with 2008 June valuation<br />

@FX rate today €/$1.3 $mn 575 15% higher than top end $500mn offer<br />

@FX rate 2008 June €/$1.6 $mn 707 41% higher than top end $500mn offer<br />

Source: <strong>Berenberg</strong> estimation, Bloomberg for FX<br />

64


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Key risks<br />

1. Leading-edge related spending pause<br />

The ALD tool is the main focus for ASMI, and its development was fuelled by<br />

the industry’s adoption of the HKMG process. HKMG is essential for nodes<br />

of 20nm and below, and the demand for this process will therefore plunge if<br />

chip-makers suspend their investment in this most advanced chip technology.<br />

Leading-edge chips are mainly used in consumer electronics. We do not expect<br />

the market to slow down in the near future, as the intense level of competition<br />

puts pressure on devices vendors to adopt such chips. However, spending may<br />

not continue to be as high as today once emerging markets reach saturation in<br />

2017/18 time frame.<br />

2. Alternative technology<br />

The ALD tool is preferred in leading-edge chip manufacturing because,<br />

compared with CVD, it can accurately control the thickness of film layers.<br />

However, we understand from our conversations with industry specialists that<br />

CVD can achieve the same results as ALD if it is deposited slowly. The<br />

growth potential for ASMI’s future top-line growth will, therefore, come<br />

under pressure if chip-makers switch to a CVD-based process.<br />

In our opinion, a process switch takes a long time to happen. Currently, the<br />

HKMG process is mainly supported by ALD equipment, as CVD’s layer<br />

uniformity is lagging behind ALD. We are therefore not concerned about it in<br />

the next 12 to 18 months.<br />

65


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Financials<br />

ASMI has historically consolidated its ASMP results. However, following the<br />

disposal of 12% of its ASMP shares on 15 March 2013, it has deconsolidated<br />

these.<br />

ASMI’s revenue model<br />

We model ASMI’s revenue base on the front-end order intake trend.<br />

Figure 16: Revenue model<br />

Eur mn 2011 2012 2013E 2014E 2015E<br />

Revenue 1,634 1,418 559 477 499<br />

ASMI (front end) revenue 456 370 398 477 499<br />

ASMP (back end) revenue 1,178 1,048 160 - -<br />

Total New Orders 1,370 1,377 725 515 531<br />

ASMI (front end) new orders 398 360 491 515 531<br />

ASMI (front end) new orders yoy -2.2% -9.6% 36.3% 5.0% 3.0%<br />

ASMP (back end) new orders 971 1,017 234 - -<br />

Source: <strong>Berenberg</strong> estimates<br />

We estimate that ASMI’s underlying revenue will reach €559m in 2013, €160m of<br />

which will come from ASMP, and that the front-end operation’s revenue will grow<br />

to €467m in 2014. This top-line growth will be driven by the rising demand for<br />

ASMI’s equipment. With the increased adoption of HKMG in advanced chip<br />

manufacturing processes and ASMI’s strong share of the ALD market, we expect<br />

ALD equipment to increase its revenue contribution.<br />

We also expect its epitaxy revenue to grow as it may receive Intel orders for its<br />

new epitaxy tools. PE CVD is also contributing to revenue growth, but to a lesser<br />

extent because ASMI’s share of the market is minor compared with AMAT’s and<br />

LAM/Novellas’.<br />

Revenue by customer/region<br />

ASMI supplies equipment to the leading chip-makers in logic, foundry and<br />

memory, primarily for their deposition processes. It generated more than 50% of<br />

its revenue from its top three customers in 2012 (Intel, Samsung, TSMC we<br />

believe), compared with 40% in 2011. This concentration of revenue is a result of<br />

the concentration in capex spending and we expect it to last in the mid-term.<br />

Figure 17: ASMI revenue breakdown by customer/region<br />

100%<br />

100%<br />

80%<br />

80%<br />

60%<br />

60%<br />

40%<br />

40%<br />

20%<br />

20%<br />

0%<br />

2010 2011 2012<br />

Top 3 customer No. 4-10 Rest<br />

0%<br />

2010 2011 2012<br />

SE Asia EUROPE US Japan<br />

Source: Company data<br />

66


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Profit and loss – margin pick-up in 2013<br />

Figure 18: Profit and loss<br />

Eur mn 2011 2012 2013E 2014E 2015E<br />

Revenue<br />

ASMI (front end) 456 370 398 477 499<br />

ASMP (back end) 1,178 1,048 160 - -<br />

Total revenue 1,634 1,418 559 477 499<br />

Gross profit<br />

ASMI (front end) 172 124 151 191 204<br />

ASMP (back end) 410 316 38<br />

582 440 189 191 204<br />

Gross margin<br />

ASMI (front end) 37.8% 33.6% 37.9% 40.0% 41.0%<br />

ASMP (back end) 34.8% 30.2% 24.0%<br />

35.6% 31.1% 33.9% 40.0% 41.0%<br />

R&D<br />

ASMI (front end) 49 59 59 65 68<br />

ASMP (back end) 81 91 17<br />

129 149 76 65 68<br />

SG&A<br />

ASMI (front end) 61 65 62 65 68<br />

ASMP (back end) 115 138 26<br />

176 202 87 65 68<br />

Operating profit<br />

ASMI (front end) 63 1 30 61 68<br />

ASMP (back end) 214 88 (4)<br />

276 89 25 61 68<br />

Operating margin<br />

ASMI (front end) 13.7% 0.4% 7.5% 12.8% 13.7%<br />

ASMP (back end) 18.1% 8.4% -2.7%<br />

16.9% 6.3% 4.5% 12.8% 13.7%<br />

Source: <strong>Berenberg</strong> estimates<br />

We expect ASMI’s gross margin and operating margin to rise to the historical peak<br />

level reached in the previous cycle. We estimate the company gross margin at 34%,<br />

40% and 41% in 2013, 2014 and 2015 respectively. We forecast that the group<br />

operating margin will be 5% in 2013, 13% in 2014 and 14% in 2015. We expect<br />

R&D and SG&A expenses to increase between 2013 and 2015 but at a slower pace<br />

than revenue growth.<br />

Dividends policy and cash distribution<br />

After a three-year dividend break between 2008 and 2010, ASMI distributed<br />

consistently stable dividends from 2010 to 2012. In 2012, it announced it would<br />

buy back 2m shares from shareholders. In addition to the dividend and the<br />

repurchase programme, it is returning 65% of the ASMP divestment proceeds<br />

(€4.25/share) to shareholders. In total, ASMI distributed €335m cash to investors<br />

from 2011, which is equivalent to about 20% of its market capitalisation.<br />

67


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Figure 18: ASMI dividends history<br />

2010 2011 2012<br />

Dividends/share* 0.4 0.5 0.5<br />

Payout ratio 20.0% 14.7% 440.8%<br />

* dividends over the year, and paid in subsequent year<br />

Source: Company data<br />

Source: Company data<br />

Balance sheet and cash flow<br />

At end-Q1 2013, it had €564m in cash and zero long-term debt. After the<br />

proposed distribution of €274m to shareholders following the ASMP divestment,<br />

we estimate ASMI will have a healthy balance sheet with €275m net cash.<br />

We forecast ASMI will generate €104m and €82m free cash flow in 2014 and 2015<br />

respectively, benefiting from the increase in profitability and the top line.<br />

68


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Company background<br />

ASMI was founded by Arthur del Prado in 1968. It co-founded ASML with Philips<br />

Electronics. It is a leading supplier of semiconductor equipment, materials and<br />

process solutions for the wafer processing industry. It supplies equipment used for<br />

ALD, PE ALD, PE CVD, low pressure (LP) CVD and oxidation/diffusion. It<br />

currently holds 40% of shares in ASMP. ASMP is world’s largest assembly and<br />

packaging supplier for the semiconductor and LED industries, and is a leading<br />

supplier of stamped and etched lead frames.<br />

Figure 19: Product portfolio<br />

Technology<br />

ALD<br />

LP CVD<br />

PECVD<br />

Products<br />

EmerALD XP ALD<br />

Pulsar XP ALD<br />

Eagle XP8 ALD<br />

Advance Vertical Furnace A412<br />

Advance Vertical Furnace A400<br />

Dragon XP8<br />

Epitaxy Epsilon 2000<br />

Epsilon 3200<br />

Intrepid XP Epitaxy<br />

Source: Company data<br />

Holding in ASMP<br />

ASMP was founded in 1975 as the Asian marketing arm of ASMI. It has been<br />

operating independently since incorporation. ASMP was formally listed on the<br />

Hong Kong Stock Exchange in 1989, and ASMI, as the parent company held 53%<br />

of ASMP’s shares before Mar 2013.<br />

At its AGM in May 2012, ASMI announced that it had appointed Morgan Stanley<br />

and HSBC to carry out a study into why the market had failed to recognise the<br />

market value of the company’s combined business (front end and back end).<br />

Subsequently, ASMI has analysed alternative solutions for crystallising the frontend<br />

business value, including a larger or full secondary placement of ASMP shares,<br />

a spin-off of ASMP, a sale of its ASMP stake, the sale of its front end, ASMP<br />

integration and a 100% ASMP acquisition. Due to concerns about pricing and tax<br />

efficiency, the company concluded that a partial secondary placement of 8% to<br />

12% of ASMP shares was the most suitable way to address the lack of recognition<br />

of ASMI’s combined business value.<br />

In March 2013, ASMI disposed of a 12% of stake in ASMP, and the company<br />

intends to distribute approximately 65% of the proceeds from disposal. The lockup<br />

period will expire in September 2013.<br />

ASMI shareholder structure<br />

ASMI has approximate 64m outstanding ordinary shares, listed at both NYSE<br />

Euronext Amsterdam and at NASDAQ in New York. The free float percentage is<br />

74%. The shareholder structure at the end of 2012 is shown below.<br />

69


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Figure 20: Holding structure as at end-2012<br />

Arthur del Prado<br />

18%<br />

Aberdeen<br />

67%<br />

10%<br />

5%<br />

Capital Group<br />

companies<br />

Others<br />

Source: Company data<br />

Management<br />

Chuck del Prado, the son of Arthur del Prado, became ASMI’s CEO in 2008.<br />

Between 1989 and 1996, Chuck Del Prado held several marketing and sales<br />

positions at IBM Nederland NV. From 1996 to 2001, he worked in various<br />

manufacturing and sales management positions at ASML in Taiwan and the<br />

Netherlands. He was appointed director of marketing, sales and service of ASM<br />

Europe in March 2001. From 2003 to 2007, he was president and general manager<br />

of ASM America. From 1 January 2008 to 29 February 2008, he acted as executive<br />

vice president front-end operations at ASM America.<br />

Peter AM Van Bommel became CFO on 1 September 2010. Mr Van Bommel<br />

joined Philips in 1979. From the mid-1990s until 2005 he acted as CFO of several<br />

business units of the Philips group. Between 2006 and 2008 he was CFO at NXP,<br />

formerly Philips <strong>Semiconductor</strong>s. He was CFO of Odersun AG, a manufacturer of<br />

thin-film solar cells and modules until 31 August 2010. In April 2012, Mr Van<br />

Bommel was appointed a member of the supervisory board and a member of the<br />

Audit Committee of the Royal KPN NV.<br />

70


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Financials<br />

Profit and loss account<br />

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E<br />

Sales 1,634.4 1,418.0 558.6 477.5 498.7<br />

Cost of sales 1,052.3 977.6 369.3 286.5 294.3<br />

Gross profit 582.1 440.4 189.3 191.0 204.5<br />

Selling, General and Administrative Expense 176.4 202.0 87.4 65.0 68.0<br />

Research and development 129.4 149.2 76.3 65.0 68.0<br />

Other operating expenses 0.0 0.0 0.0 0.0 0.0<br />

Amortisation of intangible assets 0.0 0.0 0.0 0.0 0.0<br />

Restructuring expenses -89.8 0.9 0.0 0.0 0.0<br />

EBIT 366.1 88.3 25.3 61.0 68.5<br />

Net interest expenses 15.0 14.6 0.7 0.0 0.0<br />

Other financial result 1.8 -6.9 3.9 0.0 0.0<br />

Extraordinary income/loss 0.0 0.0 1,409.8 0.0 0.0<br />

EBT 353.0 66.7 1,438.3 61.0 68.5<br />

Taxes 36.7 26.3 6.5 9.1 10.3<br />

Net income from continuing operations 316.3 40.4 1,431.8 51.8 58.2<br />

Income from discontinued operations (net of tax) - - - - -<br />

Net income 316.3 40.4 1,431.8 51.8 58.2<br />

Minority interest 129.4 33.3 -2.7 0.0 0.0<br />

Share of profit of associates 56.9 24.6 34.0 72.9 75.3<br />

Net income (net of minority interest) 186.9 7.2 1,470.0 124.7 133.5<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

71


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Balance sheet<br />

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E<br />

Intangible assets 52.1 51.9 11.5 11.5 11.5<br />

Property, plant and equipment 260.2 275.4 39.0 10.3 -18.4<br />

Other assets 74.1 56.1 1,423.2 1,508.9 1,597.4<br />

Fixed Assets 386.4 383.5 1,473.7 1,530.8 1,590.6<br />

Liquid assets 390.3 290.5 255.6 367.6 480.3<br />

Accounts receivable 330.9 304.8 89.0 76.1 79.5<br />

Inventories 376.7 403.4 116.6 90.4 92.9<br />

Other current assets 82.7 80.0 6.3 5.4 5.6<br />

Deferred taxes 14.4 18.0 5.3 5.3 5.3<br />

Current income tax assets 0.9 0.9 0.5 0.5 0.5<br />

Current Assets 1,195.8 1,097.6 473.3 545.2 664.0<br />

TOTAL 1,582.2 1,481.0 1,947.0 2,076.0 2,254.6<br />

Shareholders' equity 659.8 738.4 1,851.9 1,997.7 2,173.6<br />

Minority interest 297.9 308.1 0.0 0.0 0.0<br />

Long-term debt 15.3 12.6 0.0 0.0 0.0<br />

Subordinated capital 135.1 0.0 0.0 0.0 0.0<br />

Provisions 6.8 5.3 0.0 0.0 0.0<br />

Deferred taxes 0.9 1.0 0.0 0.0 0.0<br />

Pensions provisions 9.9 -6.0 3.4 3.4 3.4<br />

Other liabilities 0.0 0.0 0.4 0.9 1.3<br />

Non-current liabilities 659.8 738.4 1,851.9 1,997.7 2,173.6<br />

Short-term debt 40.7 61.7 0.0 0.0 0.0<br />

Accounts payable 157.5 151.8 62.2 48.2 49.5<br />

Other accruals 152.9 170.7 22.9 19.6 20.4<br />

Advance payments 42.7 0.0 0.0 0.0 0.0<br />

Deferred income 0.0 0.0 0.0 0.0 0.0<br />

Other liabilities 54.9 27.7 2.8 2.8 2.8<br />

Current portion of long term debt 4.3 6.3 0.0 0.0 0.0<br />

Current liabilities 456.5 421.6 91.4 74.1 76.3<br />

TOTAL 1,582.2 1,481.0 1,947.0 2,076.0 2,254.6<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

72


ASM International NV<br />

Small/Mid-Cap: Technology Hardware<br />

Cash flow statement<br />

EUR m 2011 2012 2013E 2014E 2015E<br />

Net profit/loss 316.2 38.8 1,431.8 51.8 58.2<br />

Depreciation and Amortisation 47.0 55.6 53.3 53.3 53.3<br />

Other operating cash flows -78.9 33.7 -1,408.9 0.5 0.5<br />

Change in working capital -67.6 -85.5 -60.2 22.7 -5.7<br />

Cash flow from operating activities 216.7 42.5 15.9 128.3 106.2<br />

Capex -85.4 -67.6 -24.6 -24.6 -24.6<br />

Investment in Intangible assets -7.1 -4.6 -0.2 0.0 0.0<br />

Payments for acquisitions -1.0 0.0 299.8 0.0 0.0<br />

Income from asset disposals 0.0 0.3 0.0 0.0 0.0<br />

Cash flow from investing activities -93.4 -71.9 275.0 -24.6 -24.6<br />

Inflows resulting from the issue of shares 4.12 2.21 0.99 0.00 0.00<br />

Purchase of own shares 0.0 -44.1 -1.1 0.0 0.0<br />

Dividends paid -22.3 -27.5 -305.6 0.0 0.0<br />

Other financing cash flows -37.1 -4.1 -21.9 8.2 31.0<br />

Cash flow from financing activities -55.3 -73.5 -327.6 8.2 31.0<br />

Effects of exchange rate changes on cash -18.1 3.1 1.5 0.0 0.0<br />

Increase/decrease in liquid assets 49.9 -99.8 -35.2 111.9 112.7<br />

Liquid assets at end of period 390.6 290.8 255.6 367.6 480.3<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

73


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Small, but active in growing markets<br />

• We initiate on Suess Microtec (SUSS) with a Buy<br />

recommendation and a price target of €9.4. SUSS supplies<br />

equipment used for chip packaging, photomask cleaning, and 3D<br />

integration, which will drive smaller chip sizes once shrinkage stops.<br />

Our Buy rating is based on the following: 1) the lithography segment’s<br />

strong performance (69% of SUSS’s revenue, and 100% of its profit),<br />

which is sufficient to drive group revenue growth; 2) Tamarack<br />

Scientific’s (acquired in 2012) margin may gradually converge to an<br />

average lithography margin of 20%; 3) bonder segment revenue is<br />

likely to triple in 2016/2017 once 3D bonder starts to ship in volume,<br />

driven by chip-makers expanding their 3D packaging capacity; and 4)<br />

the option value of more frequent cleaning for EUV photomasks as<br />

the move to EUV is made – the photomask segment’s revenue could<br />

double from the current €20m-40m level in 2015/2016.<br />

• Key debates: 1) How will the company’s “bread-and-butter”<br />

lithography segment perform in the future? 2) When will 3D bonding<br />

tools show significant growth? 3) Could SUSS become an acquisition<br />

target given its 3D bonding speciality?<br />

1. We expect the lithography segment to grow at 22% in 2014 and<br />

24% in 2015. With Tamarack’s contribution, semiconductor cycle<br />

recovery momentum and its high-growth end-market exposure,<br />

lithography is expected to contribute 100% of group revenue<br />

growth and 95% of group profit growth in 2015. It can therefore<br />

drive group growth even in the absence of a significant<br />

improvement in the other segments.<br />

2. 3D bonder volume shipments may not start before 2016/17, and<br />

some chip-makers may start building pilot lines in 2014/15; our<br />

bull-case assumption gives 9% and 8% revenue upside to our<br />

current group revenue estimates in 2014 and 2015 respectively.<br />

3. We think that an acquisition of SUSS’s 3D bonding business is<br />

unlikely given that: a) AMAT has used EV Group since 2009,<br />

and b) TEL may not have any further acquisition plans in the<br />

near term after acquiring Oerlikon and FSI in 2012.<br />

• Our EPS forecasts are 8% and 11% above consensus for 2014 and<br />

2015. We believe that the lithography segment will be able to drive<br />

total revenue and profit growth even without a material improvement<br />

from the bonder and photomask divisions. We estimate the<br />

lithography operating margin will slowly normalise to 20% after<br />

Tamarack’s integration.<br />

• Our €9.4 price target is based on 14x P/E on 2014 adjusted EPS of<br />

€0.67. The 14x P/E is at the mid of the historical 11-18x multiple<br />

applied in the middle of the order recovery cycle.<br />

Y/E 31.12., EUR m 2011 2012 2013E 2014E 2015E<br />

Sales 175 164 153 188 215<br />

EBIT 19 12 -8 19 29<br />

Net profit 15 8 -8 13 20<br />

Y/E net debt (net cash) -46 -26 -5 -7 -21<br />

EPS (reported) 0.77 0.49 -0.40 0.67 1.04<br />

EPS (Proforma) 0.77 0.41 -0.04 0.67 1.04<br />

CPS 2.43 1.34 0.26 0.38 1.09<br />

DPS 0.00 0.00 0.00 0.00 0.00<br />

Gross margin 37.8% 35.0% 30.0% 36.5% 38.8%<br />

EBIT margin 10.6% 7.2% -5.5% 9.9% 13.7%<br />

Dividend yield 0.0% 0.0% 0.0% 0.0% 0.0%<br />

ROCE 12.9% 7.5% -6.0% 9.6% 13.1%<br />

EV/sales 0.6 0.7 0.9 0.7 0.6<br />

EV/EBIT 5.4 10.4 -17.0 7.5 4.3<br />

P/E 10.0 15.8 -19.3 11.4 7.4<br />

Source: Company data, <strong>Berenberg</strong><br />

Buy (initiation)<br />

Rating system<br />

Current price<br />

EUR 7.65<br />

Absolute<br />

Price target<br />

EUR 9.40<br />

19/07/2013 XETRA Close<br />

Market cap EUR 147 m<br />

Reuters SMHNn.DE<br />

Bloomberg SMHN GY<br />

Share data<br />

Shares outstanding (m) 19<br />

Enterprise value (EUR m) 142<br />

Daily trading volume 73,041<br />

Performance data<br />

High 52 weeks (EUR) 10<br />

Low 52 weeks (EUR) 7<br />

Relative performance to SXXP TecDAX<br />

1 month -7.1 % -8.4 %<br />

3 months -12.2 % -13.7 %<br />

12 months -35.3 % -39.9 %<br />

Key data<br />

Price/book value 1.2<br />

Net gearing 0.0%<br />

CAGR sales 2012-2015 9.5%<br />

CAGR EPS 2012-2015 28.8%<br />

Business activities:<br />

Suess is a leading supplier of equipment and<br />

process solutions for the semiconductor and<br />

LED industry.<br />

22 July 2013<br />

Tammy Qiu<br />

Analyst<br />

+44 20 3207 2673<br />

tammy.qiu@berenberg.com<br />

Jean Beaubois<br />

Specialist Sales<br />

+44 20 3207 7835<br />

jean.beaubois@berenberg.com<br />

74


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Investment summary<br />

Our investment thesis on Buy-rated SUSS is predicated on four points.<br />

• Lithography can drive growth on its own, even without any contribution<br />

from the bonder and photomask divisions: We estimate group revenue and<br />

profit will grow at 14% and 58% respectively in 2015, with the lithography<br />

segment contributing 100% of group revenue growth and 83% of profit growth<br />

in 2015.<br />

The lithography segment is likely to show an organic growth rate similar to<br />

historical recovery cycle growth of ~15% in 2014 and 2015, and generate a<br />

~20% peak cycle margin. Tamarack’s contribution may triple to €30m by 2015,<br />

as SUSS is aiming to gain half of the back-end lithography market (a $60mn<br />

market). Along with Tamarack’s contribution, growth momentum from the<br />

semiconductor recovery cycle and strong growth in end-markets such as<br />

MEMS, we expect lithography to drive group revenue and profit growth on its<br />

own, without the company having to rely on significant improvements in other<br />

segments.<br />

• 3D bonder revenue may triple in 2016/17: Industry experts believe 3D<br />

integration could be one way to extend Moore’s law (ie that the number of<br />

components on integrated circuits doubles approximately every two years) once<br />

the physical shrinkage limit for chips is reached at ~5nm/3nm. Market<br />

researcher Yole Development expects the market to grow from $150m today to<br />

$500m-1bn in the next few years. In our opinion, even though we are not likely<br />

to see large order intakes before 2015/2016, the segment’s revenue could triple<br />

once volumes ramp up in 2016/2017. Our bull-case scenario for SUSS (seven<br />

tool shipments for 2014 and 2015 versus two tools by our estimates) suggests<br />

9% and 8% revenue upside and 42% and 18% operating profit upside for 2014<br />

and 2015 respectively compared to our current forecasts.<br />

• EUV photomask cleaning provides potential upside: SUSS holds a 100%<br />

share of the EUV photomask-cleaning tool market and an 80% share of the<br />

argon fluoride immersion (ArFi) photomask-cleaning tool market (combined<br />

market size: €50m-60m). We may see significant revenue upside in this segment<br />

once EUV volume shipments start in 2016, as EUV photomasks are likely to<br />

require more frequent cleaning than conventional photomasks as their structure<br />

is much more complex.<br />

• Valuation – at the middle of the range of historical multiples: Our price<br />

target of €9.4 is based on 14x P/E on 2014 adjusted EPS of €0.67, which is<br />

towards the middle of the historical 11-18x multiple range applied in the middle<br />

of the order recovery cycle.<br />

Figure 1: Valuation matrix<br />

ROE*<br />

Dividend<br />

yield*<br />

FCF yield* Net cash/marcap<br />

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%<br />

No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%<br />

No.3 SUSS 9.1% ASML 0.9% ASML 4.4% AMAT 16.7%<br />

No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%<br />

No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%<br />

*based on <strong>Berenberg</strong> estimates of 2014 performance. Net cash based on 2012 YE balance<br />

Source: <strong>Berenberg</strong> estimates, company data<br />

75


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Based on our 2014 estimates, SUSS’s ROE is lower than ASML’s and AMAT’s. Its<br />

FCF yield is lower than the front-end equipment vendors’, as we expect it will<br />

supply more 3D bonder demonstration tools to potential customers in 2014/2015,<br />

which is negative for operating cash flow.<br />

Key catalysts<br />

The key catalysts to drive the share price include the following.<br />

1) Further 3D bonder orders received from mainstream chip-makers:<br />

Samsung may release a showcase chip in 2014 that could be a trigger for other<br />

chip-makers to start placing orders. The other mainstream chip-makers may<br />

also build pilot lines if Samsung’s chip gains traction in the market.<br />

2) EUV photomasks require more frequent cleaning than conventional<br />

photomasks: EUV photomasks have a more complicated structure compared<br />

to conventional photomasks, and they may therefore need to be cleaned more<br />

frequently. We believe more data points will become available once EUV<br />

ramps up in 2014/2015.<br />

3) Order recovery: The front-end semiconductor equipment vendors have all<br />

reported/guided improved order levels in recent quarters compared to 2012<br />

levels. As a back-end player, SUSS is likely to see order improvement in the<br />

coming quarters.<br />

Key risks<br />

The key risks include the following.<br />

1) The timeframe for 3D bonder adoption remains uncertain and the<br />

division is currently loss-making: In our opinion, the 3D bonder division is<br />

not likely to receive orders in volume until 2015/16 and will remain lossmaking<br />

in 2014 and 2015.<br />

2) The photomask division has a lower operating margin than the<br />

lithography division (5% versus 21% in 2012) and dilutes the group<br />

operating margin: If EUV photomasks do not require more frequent<br />

cleaning than conventional photomasks, the division is likely to remain<br />

margin-dilutive.<br />

76


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Small, but active in growing markets<br />

SUSS is heavily exposed to various growing end-markets, including MEMS, 3D<br />

integration and EUV lithography equipment. It supplies equipment used by<br />

packaging/assembling companies, MEMS manufacturers, integrated device<br />

manufacturers (IDMs) and lithography photomask shops. It has optimised its endmarket<br />

exposure through various acquisitions and disposals over the past few years.<br />

3D bonding – revenue may triple in 2016/2017<br />

The hottest topic in the semiconductor industry today is how to deliver smaller<br />

chips with less power consumption, better performance and more functionality.<br />

Shrinking the size of chips used to be the only solution adopted by chip-makers. As<br />

current chip design is likely to approach its physical limits at 7nm/5nm, 3D<br />

integration is viewed as the most feasible way to continue delivering smaller chips.<br />

Yole Development expects the bonding market to grow from $150m today to<br />

$500m-1bn once volume adoption starts – therefore SUSS’s bonder revenue may<br />

triple from €30m to €100m in 2016/17.<br />

Stacking, which can be done at the die or wafer level, is one of the key primary<br />

technologies that enables 3D integration. It works by vertically stacking individual<br />

chip components and interconnecting them by means of TSV (through-silicon via)<br />

(see Figure 2 below). Shorter signal paths, reduced power consumption, enhanced<br />

bandwidths and smaller surface areas can be achieved by stacking dies or wafers on<br />

top of each other.<br />

Figure 2: 3D stacking and TSV<br />

Source:www.nist.gov<br />

Source: electronicsbus.com<br />

SUSS has received two orders from a leading IDM (Samsung we believe) for 3D<br />

bonders to build its 3D pilot line. If Samsung releases a sample chip next year, the<br />

remaining chip-makers are very likely to follow suit. Due to the high level of<br />

competition, chip-makers will want to remain diversified and become involved in<br />

all possible mainstream chip designs.<br />

3D integration has yet to be adopted by mainstream chip-makers as the main focus<br />

currently is on shrinking chip size and the performance/power consumption gain<br />

from 3D integration is less than that from chip shrinking/FinFET. We believe the<br />

mainstream chip-makers are likely to start working on 3D pilot lines while<br />

continuing to shrink chips. In our model, we have included one shipment each for<br />

2014 and 2015. Our bull-case scenario assumes all mainstream IDMs, memory and<br />

packaging houses will start building their pilot lines in 2014/2015. Excluding the<br />

chip-makers already working with EV Group (Intel and Micron, we believe), the<br />

77


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

demand could amount to around seven new tools for 2014 and 2015 (one each for<br />

the top three packaging houses ASE, Amkor and SPIL, one for IBM, one for<br />

Toshiba/Sandisk, one for Hynix and one for TSMC), which is five more than our<br />

current estimate for 2014 and 2015 combined. The maximum revenue upside is 9%<br />

and 8% for 2014 and 2015 respectively, and operating profit upside is 42% and<br />

18% respectively, as the bonder segment is likely to reach break even.<br />

The bonder division has been loss-making in the past, and has wiped out half of<br />

the group’s operating profit for the past three years. The company has indicated<br />

that revenue of €30m-40m is required to break even. In our forecast, we expect the<br />

division’s revenue to reach €29m by 2015, and the operating loss will narrow<br />

significantly from €12m in 2012 to €5.4m, driven by higher revenue levels and<br />

future cost savings from improved efficiency (€2m-€3m/year).<br />

SUSS supplies wafer bonders, coater/developers, mask aligners and exposure<br />

equipment used in the 3D integration process. It shares the market with EV Group<br />

and has a leading market share in the temporary bonders market. If 3D bonding<br />

gains traction with other IDMs over the next two years, and becomes one of the<br />

mainstream solutions in 2016/2017, we may see the risk of other big front-end<br />

players such as AMAT and TEL joining the market.<br />

EUV photomask cleaning – potential upside<br />

EUV equipment is currently viewed as the only credible path for shrinking chip<br />

size without significantly increasing the cost. Currently, the mainstream chipmakers<br />

use ArFi tools for manufacturing leading-edge chips. We expect EUV tools<br />

to be shipped in volume in 2015/2016, with annual shipments of 24 and 50<br />

respectively (see Figure 3 below).<br />

Figure 3: EUV shipment ramp-up<br />

Unit mn<br />

250<br />

Shipment by Technology<br />

200<br />

150<br />

100<br />

50<br />

0<br />

2011 2012 2013E 2014E 2015E 2016E<br />

I-Line KrF ArF Dry ArF Immersion EUV<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

Currently, photomasks are cleaned after 5,000 lithography exposures, but it is<br />

unclear how regularly EUV photomasks should be cleaned. In our opinion, the<br />

photomask division will at least maintain its current €20m-40m run-rate for the<br />

foreseeable future, although a more frequent cleaning requirement – if decided –<br />

would provide further upside.<br />

SUSS holds a 100% share of the EUV photomask cleaning equipment market. The<br />

market size is relatively small (currently €50m-60m), hence the big equipment<br />

78


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

players such as TEL and AMAT do not focus on this area – although we would<br />

expect them to join the market if it expands significantly.<br />

MEMS – smartphone- and tablet-driven<br />

The MEMS market provides 30% of SUSS’s revenue: As per Gartner data, the<br />

non optical sensor market grew by 14% in 2012, mainly driven by smartphone and<br />

tablets. MEMS sensors included in smartphones and tablets include accelerometers,<br />

gyroscopes, e-compasses, three-axis magnetometers and pressure sensors. Apple<br />

and Samsung were the biggest buyers of MEMS motion sensors in 2012, and we<br />

expect to see more and more tier two vendors such as Sony, ZTE and even China<br />

whitebox vendors (like xiaomi) incorporating MEMS sensors into their devices. As<br />

per iSuppli research, China-based handset and tablet-makers doubled their<br />

purchasing of MEMS motion sensors in 2012.<br />

Not only are more vendors installing MEMS into their devices, the number<br />

of MEMS sensors in smart devices is also growing. Apple pioneered the use of<br />

MEMS sensors (accelerometers, light sensors) in the iPhone2. In Samsung’s new<br />

flagship model Galaxy S4 released in 2013, there is a wealth of different sensors<br />

including the accelerometer as well as pressure, RGB light, geomagnetic, proximity,<br />

gyroscope, barometer, gesture temperature and humidity sensors. Today, smart<br />

devices contains up to nine sensors, and we believe this number will grow as<br />

vendors incorporate more sensors in their products to deliver a better user<br />

experience and new functionality.<br />

In the next few years, we expect the total MEMS industry is forecast to grow at<br />

c12%, mainly driven by the demand from smartphone and tablets (see Figure 4<br />

below).<br />

Figure 4: MEMS end-markets by application<br />

$mn<br />

10,000<br />

9,000<br />

8,000<br />

7,000<br />

24.0%<br />

6,000<br />

5,000<br />

4,000<br />

20.2% 3,000<br />

2,000<br />

1,000<br />

0<br />

2011 2012 2013E 2014E 2015E 2016E 2017E<br />

Mobile phones Tablet Consumer Automotive Industrial Military Wired Storage Computer<br />

Source: Gartner data, <strong>Berenberg</strong> Estimation<br />

SUSS’s exposure, coating and bonding equipment are essential in MEMS<br />

manufacturing. It shares the market with TEL and EV Group; we do not expect<br />

any significant changes in market share structure in the near future. TEL holds a<br />

dominant market share in coaters/developers (photoresist processing), and its main<br />

focus is on supplying equipment used in the front-end semiconductor fabrication<br />

process rather than the MEMS market. SUSS currently supplies equipment used in<br />

MEMS manufacturing to more than 100 customers, and generates about 30% of its<br />

revenue from the MEMS market. We expect that its high level of diversification<br />

and technology leadership will enable it to benefit from the MEMS market growth.<br />

79


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Key catalysts<br />

In our view, the following events could be the catalysts for the stock price.<br />

1. 3D bonder orders from other mainstream chip-makers: SUSS’s share<br />

price increased by 10% on 28 March 2013 on the news that it had received a<br />

bonder order from a leading IDM (Samsung, we think). This demonstrates<br />

that 3D bonding designs are being recognised by mainstream chip-makers.<br />

If SUSS received further orders from other mainstream chip-makers and<br />

packaging houses, its share price would likely react positively.<br />

We believe Samsung may be planning to release sample chips using a 3D<br />

stacking design in 2014 following the recent bonder order. We believe it<br />

could be a trigger for other chip-makers to start building R&D/pilot lines<br />

based on 3D bonding solutions. Given the intensified competition among<br />

chip-makers today, they will not want to lag behind their competitors in any<br />

area. SUSS may therefore see an increase in 3D bonder orders as early as<br />

the second half of 2014, which would benefit its share price.<br />

2. More frequent EUV photomask cleaning: EUV masks require<br />

sophisticated cleaning tools due to their complex structure. SUSS has a<br />

100% share of the EUV photomask cleaning market. Conventional<br />

photomasks need to be cleaned every 5,000 exposures; however, it is unclear<br />

how regularly EUV photomasks need to be cleaned. Should it be decided<br />

that EUV photomasks need to be cleaned more regularly, there will be a<br />

surge in demand for cleaning tools and SUSS will be the main beneficiary.<br />

In our opinion, visibility on the cleaning tools required for EUV<br />

photomasks is currently low. The growth of the photomask segment will at<br />

least be maintained in line with today’s rate, and we can only see upside<br />

revenue potential. We are expecting further datapoints after the EUV rampup<br />

from mid-2014.<br />

3. Order recovery: SUSS generates 69% of its revenue from the lithography<br />

segment. Any sign of an order recovery will be positive for its share price.<br />

The higher the order intake, the higher the revenue growth and operating<br />

margin improvement due to increased utilisation rates.<br />

In our opinion, front-end equipment vendors such as ASML, AMAT, LAM<br />

and KLA have all reported improved order levels in recent quarters<br />

compared to end-2012. SUSS focuses on the back end of the<br />

semiconductor fabrication process, so it is likely to see an order recovery<br />

from end-2013. We believe the company may start to see order increases in<br />

the coming quarters, therefore, as the back-end players may start to place<br />

orders as soon as they see signs of a front-end recovery.<br />

80


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Valuation<br />

Our €9.0 price target is based a P/E of 14x on 2014 adjusted EPS of €0.67/share.<br />

The P/E of 14x was based on its historical multiple.<br />

Historically, SUSS has traded at 9x to 20x forward P/E during a semiconductor<br />

industry recovery cycle (end-2009 to 2011). We believe the industry entered a<br />

recovery cycle from the beginning of 2013, marked by front-end players registering<br />

a pick-up in orders. SUSS, as a back-end player, would expect to see its own<br />

recovery start after a three- to six-month delay. It was trading at an 11x to 18x<br />

multiple between December 2010 and March 2011, which was 12 months after the<br />

front-end players began their recovery cycle.<br />

We have taken the mid-point (14x) of the historical multiple (11x-18x).<br />

Figure 5: Peer group valuation<br />

Company Name PE EV/EBIT EV/Sales<br />

FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E<br />

NIKON CORP 14.12 13.42 12.25 9.83 8.28 8.53 0.82 0.80 0.87<br />

APPLIED MATERIAL 23.30 13.18 11.92 17.43 10.77 4.87 2.35 1.92 0.91<br />

ASML HOLDING NV 25.04 15.67 12.17 21.81 10.74 2.10 4.80 3.73 0.67<br />

ASM INTL NV 27.03 14.02 13.19 50.89 10.49 13.21 3.13 1.91 1.52<br />

KLA-TENCOR CORP 16.94 13.21 11.26 9.43 7.59 2.97 2.46 2.21 0.95<br />

LAM RESEARCH 20.99 11.64 10.15 14.73 8.28 2.90 1.74 1.44 0.58<br />

ULVAC INC na 10.21 7.36 25.28 12.27 15.08 0.82 0.75 1.04<br />

DAINIPPON SCREEN 28.32 14.13 14.41 67.36 11.63 13.66 0.76 0.70 0.81<br />

TOKYO ELECTRON 48.60 20.98 18.74 25.57 9.75 5.61 1.16 1.02 0.72<br />

Mean incl TEL&Nikon 22.70 14.05 12.38 26.93 9.98 7.66 2.01 1.61 0.90<br />

Median incl TEL&Nikon 23.30 13.42 12.17 21.81 10.49 5.61 1.74 1.44 0.87<br />

Back end players<br />

ASM PACIFIC TECH 28.35 16.78 15.32 24.57 14.64 4.10 2.91 2.41 0.73<br />

SUSS MICROTEC nm 12.29 7.85 nm 4.67 6.47 0.80 0.67 0.76<br />

ULTRATECH INC* 33.99 17.78 na 18.67 19.10 na 3.21 2.49 na<br />

Mean Back end companies 20.78 15.62 7.72 14.42 12.80 3.52 2.31 1.86 0.50<br />

Median Back end companies 28.35 16.78 7.85 18.67 14.64 4.10 2.91 2.41 0.73<br />

*Ultratech Inc 2015 consensus is not available<br />

Source: Bloomberg data<br />

81


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Key risks<br />

1. Timing of 3D bonding adoption: The substrate bonder division has a -50%<br />

operating margin, and made a €12m loss in 2012 (group operating profit:<br />

€10m). It has never been profit-making in the past. The substrate bonder<br />

division is likely to keep making a loss until it begins volume shipments.<br />

In our opinion, 3D bonding has a bright future once the industry reaches the<br />

physical lithography shrinkage limit. However, the timeframe for volume<br />

adoption is unclear. Management has indicated that the division requires a<br />

revenue run-rate of €30m-40m/year to reach breakeven. The extra €7m-17m<br />

revenue will require SUSS to ship 1-3 more tools more each year compared to<br />

2012, which may be a challenge in the short term, in our opinion.<br />

2. The photomask division dilutes group operating profit: SUSS’s photomask<br />

revenue may remain at the €20m-40m level if it turns out that EUV photomasks<br />

do not require a more regular cleaning process. The segment is profit-dilutive as<br />

its operating margin is lower than the lithography division’s (5% versus 21% in<br />

2012). It accounted for 10% of total group operating profit in 2012.<br />

We believe the photomask division will remain profit-dilutive at the operational<br />

level as it has a lower operating margin compared to the lithography segment. If<br />

further data point shows that EUV photomasks need to be cleaned more<br />

regularly than conventional photomasks, SUSS may enjoy a period of high<br />

growth before other equipment vendors join the market. However, we are not<br />

expecting to see any significant growth in this segment until mid-2014, which is<br />

when we believe EUV will ramp up.<br />

3. Margin pressure: The main profit generator for SUSS has always been the<br />

lithography segment, which generated 100% of group operating profit in 2010,<br />

2011 and 2012. The profitability level is highly cyclical and highly dependent on<br />

the top-line level. The operating margin for the division was 20% for Q4 2011,<br />

and 2% in Q1 2013.<br />

The Tamarack acquisition is margin-dilutive as well, as it has a low level of<br />

profitability compared with the lithography segment.<br />

In our opinion, the profitability of the lithography division is likely to improve<br />

in the coming quarters as the top line recovers from the 2012 trough. Tamarack<br />

is only likely to account for a small part of lithography revenue in the next two<br />

years – 10% in 2014, 14% in 2015 – hence the margin dilution is not likely to be<br />

significant. We expect Tamarack to slowly converge to the average lithography<br />

operating profit level starting from 2015, as integration completes.<br />

82


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Financial<br />

Profit and loss account<br />

We model SUSS’s revenue based on the order intake trend. The lithography<br />

segment has a shorter lead time compared to substrate bonder and photo mask<br />

equipment, thus the order trend in the lithography segment is likely to be reflected<br />

in the top line earlier than the other two segments.<br />

Figure 6: Revenue forecast<br />

2011 2012 2013E 2014E 2015E<br />

Revenues (Eur millions)<br />

Lithography 111.5 113.2 96.9 118.0 145.9<br />

Substrate Bonder 20.5 23.1 24.2 28.5 29.2<br />

Photo mask equipment 36.3 22.9 26.4 36.0 34.2<br />

Other 7.1 4.8 5.4 6.0 6.0<br />

Total 175.4 164.0 152.9 188.5 215.3<br />

Percent of total<br />

Lithography 64% 69% 63% 63% 68%<br />

Substrate Bonder 12% 14% 16% 15% 14%<br />

Photo mask equipment 0% 14% 17% 19% 16%<br />

Other 0% 3% 4% 3% 3%<br />

Total 75% 100% 100% 100% 100%<br />

year-over-year % change<br />

Lithography 25% 2% -14% 22% 24%<br />

Substrate Bonder -17% 13% 5% 18% 3%<br />

Photo mask equipment 97% -37% 15% 36% -5%<br />

Other 1% -32% 12% 11% 0%<br />

Total 26% -6% -7% 23% 14%<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

Lithography: SUSS mainly focuses on the mid-/back end of the semiconductor<br />

fabrication process, and so is affected by the semiconductor cycle with a three- to<br />

six-month delay compared to front-end-focused semiconductor equipment players<br />

like ASML, AMAT and KLA. The front-end players have seen an order recovery<br />

from Q1 2013; we therefore expect SUSS’s order intake to rebound from Q2.<br />

We expect total order intake to grow to €163m in 2013. Revenue is likely to<br />

decrease in 2013 due to the low order intake towards the end of 2012 and weak Q1<br />

revenue. We estimate that revenue will rebound in 2014 following the order<br />

recovery.<br />

Substrate bonder: After receiving the temporary bonder order from a leading<br />

IDM (Samsung, we think), management indicated that no further orders were<br />

expected from the same buyer or from its peers in the short term. We estimate that<br />

order intake and revenue levels will remain flat at the €20m-30m level for the time<br />

being, but will start to grow at high speed once other leading IDMs/foundries start<br />

to adopt 3D bonding as part of their chip-manufacturing process.<br />

Photomask equipment: We estimate photomask equipment revenue will remain<br />

flattish (€20m-40m) further data proves that EUV photomask requires more<br />

regular cleaning. As per ASML’s EUV roadmap, the first batch of EUV tools will<br />

83


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

be dispatched from mid-2014, and we may have better visibility on the division’s<br />

revenue potential closer to that date.<br />

Revenue by region<br />

SUSS’s customers include large packaging companies, semiconductor IDMs, LED<br />

manufacturers and mask shops. The company generates the majority of its revenue<br />

from Taiwan, where many of the packaging companies are based. The share of<br />

revenue from Europe increased in 2012 due to the MEMS-related manufacturing<br />

equipment demand.<br />

Figure 7: Revenue breakdown by region<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

2010 2011 2012<br />

Europe North America Japan Rest of Asia<br />

Source: Company data<br />

Profit margin<br />

SUSS’s profitability depends on its product mix and factory utilisation. Among<br />

different product categories, lithography has the highest margin, followed by<br />

photomask equipment; substrate bonder is currently loss-making and management<br />

is not expecting it to be profitable in 2013.<br />

We estimate the gross margin will decrease from 35% to 30.3% in 2013 due to a<br />

lower revenue base, and a product mix shift towards substrate bonders, which are<br />

lower-margin. We expect the gross margin to improve in 2014 and 2015 due to an<br />

increased top line and improved factory utilisation.<br />

We estimate the company to reach breakeven at the operating margin level<br />

(excluding one-off write offs from the bonder segment) in 2013, and then gradually<br />

returning to 2011 profitability levels. The increasing level of profitability is believed<br />

to be driven by a higher gross margin, improved profitability of the substrate<br />

bonder segment, and a cost saving resulting from the corporate structure<br />

optimisation.<br />

84


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Figure 8: Profit and loss<br />

2011 2012 2013E 2014E 2015E<br />

Revenues 175.4 163.8 152.9 188.5 215.3<br />

YOY 26.1% -6.6% -6.7% 23.3% 14.2%<br />

Cost of goods sold 109.1 106.4 107.0 119.6 131.8<br />

Gross profit 66.4 57.4 45.9 68.8 83.5<br />

% of revenues 37.8% 35.0% 30.0% 36.5% 38.8%<br />

Research & development 12.9 9.7 9.6 10.3 10.8<br />

% of revenues 7% 6% 6% 5% 5%<br />

SG&A 35.8 37.6 37.8 41.0 44.5<br />

% of revenues 20% 23% 25% 22% 21%<br />

Operating Income 18.6 11.7 -8.4 18.6 29.4<br />

% of revenues 10.6% 7.2% -5.5% 9.9% 13.7%<br />

Operating income (ADJ) 18.6 10.3 -1.6 18.6 29.4<br />

% of revenues 10.6% 6.3% -1.0% 9.9% 13.7%<br />

Lithography 25.5 23.7 11.3 19.2 28.1<br />

Substrate Bonder -11.1 -12.0 -11.6 -8.2 -5.4<br />

Photo mask equipment 5.3 1.1 2.8 4.4 4.2<br />

Operating Margin (Pro forma)<br />

Lithography 23% 21% 12% 16% 19%<br />

Substrate Bonder -54% -52% -48% -29% -18%<br />

Photo mask equipment 15% 5% 11% 12% 12%<br />

EPS - GAAP 0.77 0.49 -0.40 0.67 1.04<br />

EPS - Adj 0.77 0.41 -0.04 0.67 1.04<br />

Common shares (millions) 19 19 19 19 19<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

Balance sheet and cash flow<br />

At end-Q1 2013, SUSS had net cash of €18m. We expect the net cash level will be<br />

€13m by end-2014 driven by earnings momentum. It had €85.7m in inventory on<br />

the balance sheet at end-Q1, and inventory days of 343. The inventories are mainly<br />

demo tools, and we expect the amount of demo tools it provides to potential<br />

customers to increase once 3D bonders become attractive.<br />

SUSS generated negative free cash flow in Q1 due to weak earnings and negative<br />

working capital movement. The longer cash conversion cycle resulted from the<br />

decrease in the revenue contribution from the lithography segment, which has a<br />

shorter lead time.<br />

We forecast SUSS to generate €17m free cash flow in 2015, and to benefit from the<br />

increase in profitability and in the top line.<br />

85


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Company background<br />

SUSS is a leading supplier of equipment and process solutions for the<br />

semiconductor and LED industry, with more than 60 years of engineering<br />

experience. It focuses primarily on the mid- and back-end of the semiconductor<br />

fabrication process, supplying equipment including mask aligners,<br />

coater/developers and UV tool sets (see Figure 9 below), which are used in wafer<br />

level packaging, advance packaging and MEMS manufacturing processes. It is also<br />

involved in the front-end semiconductor fabrication process, specifically in terms<br />

of supplying photomask cleaning tools. In 2012, SUSS acquired US-based<br />

Tamarack Scientific, and enhanced its product portfolio meaningfully with<br />

Tamarack’s laser processing and ultraviolet projection tools.<br />

Figure 9: SUSS product portfolio<br />

Lithography Substrate Bonder Photomask equipment<br />

Spin/Spray Coater/Developer Wafer bonder<br />

-ACS300 Gen2 -XBS300 -MaskTrack Pro<br />

-ACS200 Gen 3 -XBC300 Gen2 -Mask Track<br />

-ACS200 Plus -XBC300 -Asx series<br />

-Gamma -CBC200 -HMx series<br />

-Delta 12RC<br />

-ABC200<br />

-Delta Altaspray<br />

-CB200M<br />

-RCD 8<br />

-SB6/8e (semi auto)<br />

-Lab Spin 6 and Lab Spin 8 -SB6/8L<br />

-HP8<br />

-BA6/BA8<br />

Mask Aligner<br />

-BA8 Gen3<br />

-MA 300 Gen 2<br />

-CL 200/CL8<br />

-MA 200 Compact<br />

-MA150e<br />

-MA 100/150e/Gen2<br />

-MA/BA8 Gen3<br />

-MA/BA6 Gen2<br />

-MJB4<br />

Source: Company data<br />

End-markets<br />

SUSS’s customers include large packaging companies, semiconductor IDMs, LED<br />

manufacturers and mask shops. Its products are widely used in various endmarkets<br />

including advanced packaging, 3D integration, MEMS and mask<br />

manufacturing (see Figure 10).<br />

86


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Figure 10: Products used in different end-markets<br />

Front end<br />

Back end<br />

Segment Photomask equipment Lithography<br />

Substrate Bonder<br />

Products used MaskTrack Pro Exposure system Mask Aligher Coater/developer Wafer bonders<br />

Process step Photomask cleaning Stepper, Scanner<br />

Mask Aligner<br />

(proximity exposure)<br />

coating/developing Bond Alignment<br />

(UV projection) Nano imprinting Permanent Bonding<br />

Temporary Bonding<br />

Mask manufacturing<br />

Advanced Packaging<br />

Markets<br />

3D Integration<br />

MEMS<br />

LED<br />

Source: Company data<br />

Mask manufacturing: Photomask equipment is used for cleaning and processing<br />

the photomasks used in the lithography process. SUSS currently holds an 80% share<br />

of the mask cleaning equipment market for ArFi lithography tools. The most<br />

advanced EUV lithography tools require the use of highly sensitive reflecting masks<br />

instead of optical transmission masks, and operators need to use advanced mask<br />

cleaning equipment: SUSS is currently the only provider of EUV mask cleaning<br />

tools.<br />

Advanced packaging (such as flip chip and wafer level packaging): With the<br />

growing spread of Ultrabooks, tablets and smartphones which demand thin, light<br />

designs, advanced packaging is preferred over traditional wire bonding for producing<br />

thin, light designs. Flip chips are used as a cost effective solution for forming<br />

electrical connections with small bump pitches instead of tradition wire. As a result,<br />

the completed chip is much smaller and thinner, and the short wires allow higher<br />

speed data processing. Wafer level packaging allows all steps of integrated circuit<br />

packaging to be performed at wafer level, and reduces the size of the packaging<br />

footprint so that no plastic housing and wires are needed.<br />

3D integration: New 3D integration technology is likely to be developed in parallel<br />

with shrinking as part of the constant requirement for smaller components, and is<br />

expected to drive smaller chip sizes once shrinkage has reached its physical limit. 3D<br />

stacking using through-silicon vias (TSV) is the one of the main 3D integration<br />

solutions being considered. By stacking the chips or wafers on top of each other<br />

instead of laying them out on a planar surface, 3D integration offers the advantages<br />

of shorter signal paths, reduced power consumption, enhanced bandwidths and a<br />

smaller surface area. Thinner wafers are usually required in 3D integration to reduce<br />

the thickness of chips.<br />

MEMS: MEMS are key components for automotive, industrial, medical, aerospace<br />

and consumer electronics. MEMS sensors are used in applications ranging from<br />

gaming, smartphone and medical testing to satellites. The manufacturing process for<br />

MEMS requires highly specialised equipment to create mechanical structures. SUSS<br />

has been supplying equipment to the MEMS industry ever since such products have<br />

been produced in volume.<br />

LED: SUSS solutions are used in three stages of the LED process: the structuring<br />

of substrates, the structuring of LED chips and chip packaging.<br />

87


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Acquisitions and divestments<br />

SUSS has completed three acquisitions in recent years to enhance its<br />

competitiveness (see Figure 11). In our opinion, all three deals are positive for<br />

SUSS, as they have all helped it optimise its end-market exposure and focus on its<br />

core target markets, including advanced packaging, 3D integration, MEMS and<br />

LED.<br />

Figure 11: SUSS’s M&A history<br />

Name Amount Description Synergy for Suess<br />

2012 Acquire<br />

Tamarack Scientific<br />

Co.,Inc<br />

$9.34mn<br />

Develops, manufactures and<br />

distribute UV projection<br />

lithography equipment<br />

- Same target end market, advanced packaging, 3D<br />

integration, MEMS and LED market,<br />

-enhance product portfolio, supplying a comprehensive<br />

range of equipment for mid/back end process<br />

2010 Dispose Test system $6.29mn<br />

Supply measurement and test<br />

systems for semiconductor<br />

industry<br />

-Test system market was too competitive for any<br />

suppliers to secure decent level of profit.<br />

-Reduced exposure to high saturated testing market,<br />

maintain profitability level<br />

2010 Acquire HamaTech APE $5.08mn<br />

Source: Company data, <strong>Berenberg</strong><br />

Leading photomask cleaning<br />

equipment supplier<br />

- helped SUSS to break into front end equipment<br />

industry,<br />

-set a strong fundamental for SUSS being the only<br />

EUV mask cleaning tool supplier today.<br />

Manufacturing plants<br />

SUSS used to operate four different production facilities:<br />

• Palo Alto (US) – photomask cleaning division (now sold);<br />

• Waterbury (US) – wafer bonders;<br />

• Garching (Germany) – mask aligners, coater/developers;<br />

• Vaihingen (Germany) – mask aligners, coater/developers.<br />

After it acquired HamaTech in February 2010, including its factory building at<br />

Sternenfels, SUSS decided to consolidate its main operation (everything bar<br />

ultraviolet projection and laser technology) in two locations. The transition was<br />

completed in 2011, and SUSS currently operates from the following facilities:<br />

• Garching (Germany) – mask aligners;<br />

• Sternenfels (Germany) – wafer bonders, coaters/developers, photomask<br />

equipment;<br />

• Corona (US) – ultraviolet projection and laser technology.<br />

In our view, this transition is positive for SUSS, as the integration of production<br />

facilities has enabled it to reduce costs and simplify its corporate structure.<br />

88


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Figure 12: Holding structure as at end-2012<br />

Others,<br />

79%<br />

DWS Luxembourg, 3%<br />

Henderson, 3%<br />

Blackrock, 3%<br />

Credit Suisse, 3%<br />

DWS Frankfurt, 9%<br />

Source: Company data<br />

SUSS shareholder structure<br />

SUSS has approximately 19m outstanding ordinary shares, listed at XETRA. The<br />

free float percentage is 100%. The shareholder structure as at end-2012 is shown in<br />

Figure 12.<br />

Management<br />

CEO Frank Averdung<br />

Mr Averdung became CEO in February 2009. Prior to joining SUSS, he served as<br />

managing director of Carl Zeiss SMS GmbH, Jena, and general manager at AMAT<br />

in Munich.<br />

CFO Michael Knopp<br />

Mr Knopp became CFO in August 2007. Prior to joining SUSS, he was the<br />

commercial managing director of Kemmax GmbH located in Essen, as well as<br />

CFO of international operations of Canadian parent company Chemtrade<br />

Logistics.<br />

89


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Profit and loss account<br />

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E<br />

Sales 175.4 163.8 152.9 188.5 215.3<br />

Cost of sales 109.1 106.4 107.0 119.6 131.8<br />

Gross profit 66.4 57.4 45.9 68.8 83.5<br />

Research and development 12.9 9.7 9.6 10.3 10.8<br />

Selling, General and Administrative expense 35.8 37.6 37.8 41.0 44.5<br />

Other operating income 1.0 -1.7 -6.8 1.1 1.2<br />

EBIT 18.6 11.7 -8.4 18.6 29.4<br />

Interest expenses -1.8 -1.1 -1.1 -1.2 -1.2<br />

Extraordinary income/loss 0.0 0.0 0.0 0.0 0.0<br />

EBT 20.4 12.9 -7.2 19.8 30.6<br />

Taxes 5.8 5.1 0.4 6.9 10.7<br />

Net income from continuing operations 14.6 7.8 -7.6 12.9 19.9<br />

Income from discontinued operations (net of tax) 0.0 1.5 0.0 0.0 0.0<br />

Net income 14.6 9.3 -7.6 12.9 19.9<br />

Minority interest 0.2 0.1 0.0 0.0 0.0<br />

Net income (net of minority interest) 14.6 7.8 -7.6 12.9 19.9<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

90


Suess Microtec AG<br />

Small/Mid-Cap: Technology Hardware<br />

Balance sheet<br />

Year-end December (EUR m) 2011 2012 2013E 2014E 2015E<br />

Intangible assets 22.2 22.9 22.3 22.3 22.3<br />

Property, plant and equipment 9.5 12.1 21.3 21.3 21.3<br />

Other assets 6.1 2.4 4.1 4.1 4.1<br />

Fixed Assets 37.7 37.3 47.7 47.7 47.7<br />

Liquid assets 56.4 36.6 15.9 18.3 31.8<br />

Accounts receivable 17.8 21.8 25.8 26.1 36.3<br />

Inventories 71.6 82.2 86.6 97.8 97.9<br />

Other current assets 4.2 2.6 4.3 4.3 4.3<br />

Current Assets 150.1 143.1 132.6 146.4 170.3<br />

TOTAL 187.7 180.4 180.4 194.2 218.0<br />

Shareholders' equity 120.4 127.2 127.4 141.5 162.6<br />

Minority interest 0.7 0.0 0.0 0.0 0.0<br />

Long-term debt 4.3 4.0 4.0 4.0 4.0<br />

Other Non Current liabilities 6.2 7.1 7.1 7.1 7.1<br />

Non-current liabilities 10.5 11.0 11.0 11.0 11.0<br />

Accounts payable 7.6 6.9 8.7 8.4 11.2<br />

Short-term debt 10.1 0.3 0.2 0.2 0.2<br />

Other liabilities 39.1 35.0 33.0 33.0 33.0<br />

Current liabilities 56.9 42.2 41.9 41.6 44.4<br />

TOTAL 187.7 180.4 180.4 194.2 218.0<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

Cash flow statement<br />

EUR m 2011 2012 2013E 2014E 2015E<br />

Net profit/loss 13.8 9.1 -0.8 12.9 19.9<br />

Depreciation and Amortisation 6.4 6.8 4.6 4.6 4.6<br />

Change in working capital -12.2 -15.9 -12.0 -11.7 -7.6<br />

Other operating cash flows -1.8 -0.7 0.6 0.6 0.6<br />

Cash flow from operating activities 6.1 -0.6 -7.7 6.4 17.5<br />

Capex -3.4 -4.2 -13.1 -4.0 -4.0<br />

Other cash flow from investing activities -1.9 4.3 -9.0 0.0 0.0<br />

Cash flow from investing activities -5.3 0.1 -22.0 -4.0 -4.0<br />

Loan and receivable repayments -0.2 -0.2 0.0 0.0 0.0<br />

Increase/decrease in debt position 0.0 -0.1 -0.1 0.0 0.0<br />

Others -0.4 -11.0 0.0 0.0 0.0<br />

Purchase of own shares 0.0 0.0 0.0 0.0 0.0<br />

Dividends paid 0.0 0.0 0.0 0.0 0.0<br />

Cash flow from financing activities -0.6 -11.3 -0.1 0.0 0.0<br />

Effects of exchange rate changes on cash 0.2 -0.1 0.2 0.0 0.0<br />

Increase/decrease in liquid assets 0.5 -11.8 -29.6 2.4 13.5<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

91


Applied Materials Inc<br />

Technology Hardware<br />

Recovery and growth priced in<br />

• We initiate coverage on Applied Materials (AMAT) with a Hold<br />

recommendation and a price target of $14.4. AMAT supplies<br />

equipment used in semiconductor, flat panel display (FPD) and solar<br />

cell manufacturing processes. Our Hold rating is based on the<br />

following. 1) AMAT only addresses 72% of the total wafer fab<br />

equipment (WFE) market (Gartner data), and would need to gain a<br />

3-6% market share from its current customer base to gain a further 2-<br />

4% total share by 2016, as targeted by management. We consider this<br />

to be a challenging target as the market is highly consolidated and<br />

AMAT’s competitors – LAM, TEL and KLA – are all trying to<br />

expand/maintain their market share. 2) We think that at the $16.5<br />

share price level, much of the good news such as cost savings and<br />

WFE market share gain opportunities is priced in.<br />

• Key debates: 1) Can AMAT achieve a 4% WFE share gain by 2016?<br />

2) Will AMAT be able to gain market share in the process control<br />

market from its competitor KLA? 3) Can management deliver the 5%<br />

opex cut as targeted by 2016?<br />

1. We expect AMAT to only gain a 1% share by 2016, as: a) its<br />

WFE market share has never reached 22% in the past 10 years;<br />

b) it would need to gain a 3-6% share from its current customer<br />

base to reach the target, as it only addresses 72% of the WFE<br />

market; c) its potential share gain from etching and process<br />

control only translates into a 1% total WFE share gain by 2016,<br />

according to our calculations.<br />

2. We think AMAT can gain up to a 5% market share in process<br />

control by 2016 from its competitor KLA, given the recent<br />

release of its new Applied SEMVision G6 product and the new<br />

president’s experience in the process control market.<br />

3. We believe the new president and CFO, both of whom had good<br />

track records at their respective previous posts at Varian Semi,<br />

will improve the likelihood of AMAT achieving is 5% opex cut<br />

target by 2016.<br />

• Our forecasts are in line with consensus.<br />

• We value AMAT on a P/E multiple basis: Our price target of<br />

$14.4 implies a P/E of 12x based on our 2014 EPS estimate of $1.2.<br />

The 12x P/E is the mid-point of the historical 10-14x multiple applied<br />

in the middle of the semiconductor recovery cycle.<br />

Hold (initiation)<br />

Rating system<br />

Current price<br />

USD 16.56<br />

Absolute<br />

Price target<br />

USD 14.40<br />

18/07/2013 New York Close<br />

Market cap USD 19,897 m<br />

Reuters AMAT.O<br />

Bloomberg AMAT US<br />

Share data<br />

Shares outstanding (m) 1,202<br />

Enterprise value (USD m) 18,595<br />

Daily trading volume 13,958,129<br />

Performance data<br />

High 52 weeks (USD) 17<br />

Low 52 weeks (USD) 10<br />

Relative performance to SXXP NASDAQ<br />

1 month 3.3 % 3.0 %<br />

3 months 21.5 % 15.7 %<br />

12 months 36.2 % 34.9 %<br />

Key data<br />

Price/book value 2.7<br />

Net gearing 0.0%<br />

CAGR sales 2012-2015 3.4%<br />

CAGR EPS 2012-2015 173.6%<br />

Business activities:<br />

AMAT supplies semiconductor<br />

fabrication equipment, flat panel display<br />

fabrication equipment and solar PV<br />

manufacturing systems.<br />

Y/E 31.10., USD m 2011 2012 2013E 2014E 2015E<br />

Sales 10,517 8,719 7,784 9,438 9,626<br />

EBIT 2,410 1,379 1,135 1,842 1,961<br />

Net profit 1,928 109 451 1,382 1,471<br />

Y/E net debt (net cash) -5,227 -1,046 -1,302 -2,268 -3,319<br />

EPS (GAAP) 1.45 0.06 0.38 1.17 1.25<br />

EPS (Proforma) 1.30 0.75 0.67 1.17 1.30<br />

CPS 4.51 1.14 1.63 2.47 3.40<br />

DPS 0.24 0.33 0.38 0.40 0.41<br />

Gross margin 41.8% 40.9% 42.1% 43.7% 43.4%<br />

EBIT margin 22.9% 15.8% 14.6% 19.5% 20.4%<br />

Dividend yield 1.4% 2.0% 2.3% 2.4% 2.5%<br />

ROCE 21.9% 1.5% 6.1% 17.1% 16.7%<br />

EV/sales 1.6 1.9 2.2 1.8 1.7<br />

EV/EBIT 6.9 14.5 16.4 9.4 8.1<br />

P/E 11.4 269.3 43.9 14.2 13.2<br />

Source: Company data, <strong>Berenberg</strong><br />

22 July 2013<br />

Tammy Qiu<br />

Analyst<br />

+44 20 3207 2673<br />

tammy.qiu@berenberg.com<br />

Jean Beaubois<br />

Specialist Sales<br />

+44 20 3207 7835<br />

jean.beaubois@berenberg.com<br />

92


Applied Materials Inc<br />

Technology Hardware<br />

Investment summary<br />

Our investment thesis on Hold-rated AMAT is based on the following five points.<br />

1. We remain cautious about the likelihood of a 2-4% WFE share gain: We<br />

believe AMAT will gain a 1% share of the WFE market, rather than a 2-4%<br />

share, for the following reasons.<br />

From 2004 to 2012, AMAT’s share in WFE was between 15-20% (Gartner<br />

data), and it never gained more than 1.1% share within any three-year<br />

timeframe within that period.<br />

AMAT only addresses 72% of the WFE market (Gartner data), so to gain a<br />

further 2-4% share of the total market, it would need to gain by 3-6% from its<br />

current customer base. We consider this to be a challenging target as the<br />

market is highly consolidated and AMAT’s competitors are all also trying to<br />

expand/maintain market share. For example, LAM is aiming to gain a 3-5%<br />

etching share and a 4-8% deposition share, KLA expects its dollar amount<br />

market share to maintain, and TEL is aiming to expand its etching market<br />

share from 29% to 35% by 2015.<br />

In etching, we expect AMAT to gain a less than 1% market share through<br />

silicon etching expansion – which is less than a 1% WFE share. There are<br />

several reasons for the limited share gain: 1) all the main chip-makers are<br />

already penetrated by one/several equipment vendors; 2) AMAT benefits less<br />

from the 3D NAND-driven etching opportunity than LAM due to its lower<br />

memory exposure; 3) the etching market is becoming more competitive, with<br />

LAM aiming for a 3-5% share gain by 2016, and TEL is looking for a 6% gain<br />

by 2015.<br />

In process control, we expect AMAT to gain a maximum 5% of market<br />

share to reach its peak market share of 20% (which equates to a 1% WFE<br />

share) by 2016, as: 1) new president Gary Dickerson can leverage his 18 years’<br />

experience at KLA to increase AMAT’s penetration; 2) it has a strong 60%<br />

position in the defect review market (however, this only amounts to a 6%<br />

share of the total process control market, hence it will be insufficient to drive<br />

a bigger market share gain); 3) AMAT is less experienced in process control<br />

compared with KLA and indeed lost a 7% defect review share to KLA in<br />

2012 as KLA’s new tool is considered to be of superior quality.<br />

We do not think AMAT will gain etching and process control market<br />

share through acquisition: In our view, AMAT is only interested in chasing<br />

the market leaders, given its Varian acquisition. LAM and TEL hold the<br />

biggest etching market shares (47% and 29% respectively), and KLA holds the<br />

biggest process control market share, but we do not think AMAT is in a<br />

position to acquire LAM or KLA: LAM’s and KLA’s market caps are $8bn<br />

and $10bn respectively, which translate to 67% and 83% respectively of<br />

AMAT’s total balance sheet of $12bn. Having taken up ~$2bn debt to acquire<br />

Varian in 2011, we do not expect it to further stretch its balance sheet. We<br />

also think it unlikely that AMAT will buy TEL, as TEL is mainly exposed to<br />

the declining dielectric market.<br />

2. WFE spending to reach $37bn by 2016: We expect AMAT’s semiconductor<br />

revenue to reach $6.7bn excluding any market share gain ($7bn including<br />

expected share gain) in 2016, thus exceeding the 2007 peak level of $6.5bn,<br />

driven by the strong WFE spending environment. AMAT is most closely<br />

linked to WFE spending among the front-end equipment vendors, due to its<br />

more diversified product portfolio and balanced revenue exposure to<br />

growth/declining markets compared to peers.<br />

93


Applied Materials Inc<br />

Technology Hardware<br />

In our opinion, WFE spending could reach the $37bn mark in 2016, which<br />

was the peak level attained in 2011 and 2007. The strong WFE spending is<br />

expected to be driven by new technology such as FinFET, 20nm, 3D NAND<br />

and 450mm migration. AMAT, TEL, LAM and the SEMI trade association<br />

have all estimated that WFE spending will rise to $37bn-40bn in 2016/17.<br />

3. Our bull-case $1.7 peak EPS is 20% lower than AMAT’s 2016 bull-case<br />

of $2.15: AMAT laid out its 2016 bull-case EPS of $2.15 during the Semicon<br />

2013 event, citing 1) $37bn in WFE spending, 2) a 4% WFE market share gain<br />

from 2012 (up from 18% to 22% WFE market), 3) $1bn in Display revenue<br />

(equating to a 5% market share gain, and 3) cost-cutting in the material costs<br />

area and lower opex/revenue from 25% of revenue today to 20%, 4) a tax rate<br />

decrease of 2-3% to 22%. The $2.15 EPS suggests a fair value of $20.8 by end-<br />

2014 using our 12x P/E.<br />

AMAT laid out similar revenue/share gain targets in 2010, 2011 and 2012, but<br />

did not achieve all of them. We believe it is different this time, as the new<br />

president and CFO both had strong execution records when they were with<br />

Varian Semi. The new management team may be able to nudge AMAT into a<br />

better position to achieve these targets, especially the cost saving target.<br />

Our 2016 bull-case EPS estimate of $1.7 is 20% lower than AMAT’s bull-case<br />

of $2.15. The delta is down to our belief that AMAT will gain a 1% WFE<br />

market share rather than a 4% gain, and a lower gross margin (200bp) as a<br />

result of a drop in revenue.<br />

4. Solar/Display will remain a profit drag: Solar and Display revenue<br />

accounted for 10% of group revenue in 2012, resulting in a combined loss. In<br />

our opinion, the Display segment may return $1bn in revenue by 2016 (9% of<br />

AMAT’s total revenue), which is the level of revenue attained in 2008 and<br />

2010. However, it may remain margin-dilutive for the company as a whole as<br />

its margin has always been lower than its Silicon Systems Group (SSG)<br />

segment except in 2009, and TV-makers may exert pricing pressure on AMAT<br />

as they struggle to make a profit. We believe the solar segment will continue<br />

to make a loss for the next three years. AMAT’s cost-cutting initiative could in<br />

our view narrow the operating loss from $184m to $100m in 2013, but<br />

breakeven is unlikely.<br />

5. Valuation: Our price target of $14.4 implies a P/E of 12x based on 2014<br />

EPS estimates of $1.2. The 12x multiple was in the middle of range of the<br />

historical 10-14x mid-recovery cycle P/E.<br />

AMAT’s RoE is lower than ASML’s as its operating margin is lower. It has<br />

the highest FCF yield and dividend yield among the companies in our<br />

coverage universe.<br />

Figure 1: Valuation matrix<br />

ROE*<br />

Dividend<br />

yield*<br />

FCF yield* Net cash/marcap<br />

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%<br />

No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%<br />

No.3 SUSS 9.1% ASML 0.9% ASML 4.5% AMAT 16.7%<br />

No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%<br />

No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%<br />

*base on <strong>Berenberg</strong> estimation of 2014 performance<br />

Net cash base on 2012 YE balance<br />

Source: <strong>Berenberg</strong> estimate, company data<br />

94


Applied Materials Inc<br />

Technology Hardware<br />

Key catalysts<br />

Key catalysts include the following:<br />

1) an increase in orders driven by the semiconductor recovery cycle – in<br />

our opinion, we are likely to see positive order intake in H2 2013 and 2014,<br />

driven by a strong logic/foundry and memory recovery;<br />

2) a process control share gain – in our opinion, with AMAT’s new product<br />

cycle (Applied SEMVision G6) in process control, combined with its new<br />

management’s experience in this market, we think it can gain 5% market share<br />

in this segment;<br />

3) a memory exposure gain – AMAT’s exposure to the memory market is<br />

lower than LAM’s, hence it is likely to benefit less from 3D NAND market<br />

expansion than LAM. We believe the share price will react positively if<br />

AMAT gains more memory share.<br />

Key risks<br />

Key risks include the following:<br />

1) a capex cut from Samsung, Intel and TSMC – in our opinion, however,<br />

these companies are unlikely to cut capex any time soon, as they need to be<br />

up to speed with the most advanced manufacturing technologies to maintain<br />

their competitive advantage;<br />

2) the sluggish solar energy segment will remain a drag on profit – we<br />

believe the Energy and Environment Solutions (EES) solar division will<br />

remain loss-making over the next three years. The cost-saving programme will<br />

only narrow the 2013 operating loss to $137m from the $184m loss in 2012.<br />

95


Applied Materials Inc<br />

Technology Hardware<br />

Recovery and growth already priced in<br />

In our bull-case scenario, we believe AMAT’s SSG revenue will grow at c6.2%<br />

from now to 2016, and exceed the 2007 revenue peak by 2016, driven by 1) the<br />

strong WFE spending environment (WFE spending is likely to grow from $30bn<br />

today to $37bn in 2016 and $40bn in 2017), and 2) a 1% WFE share gain mainly<br />

due to a 5% process control potential share gain. Our bull cash 2016 non-GAAP<br />

EPS will reach $1.7, which has a CAGR of 23% pa from 2012 to 2016, driven by<br />

an opex cut from 25% of revenue in 2012 to 20% by 2016. However, the $1.7<br />

bull-case EPS is 20% lower than AMAT’s bull-case scenario $2.15.<br />

Figure 2: 2016 bull-case EPS of $2.15<br />

2016 AMAT bull case <strong>Berenberg</strong> Bull case Difference<br />

WFE $bn 37.0 37.0 -<br />

Market share 21.9% 19.0% -3%<br />

Revenue 12.4 11.3 -9%<br />

SSG 8.1 7.0 -13.6%<br />

AGS 2.6 2.6 -<br />

Non semi 1.7 1.7 -<br />

GM 46.0% 44.0% -2.0%<br />

Opex 2.5 2.3 -8.0%<br />

Opex% 20.0% 20.0% 0.0%<br />

OP% 26.0% 24.0% -2.0%<br />

EPS 2.15 1.7 -20.9%<br />

Share no mn 1,140 1,140 -<br />

Source: Company data<br />

AMAT’s 2016 bull-case EPS $2.15 is driven by 1) $37bn in WFE spending, 2) a<br />

4% WFE market share gain from 2012 (up from 18% to 22% of the WFE market),<br />

3) $1bn in Display revenue (equating to a 5% market share gain, and 3) costcutting<br />

in the material costs area and lower opex/revenue from 25% of revenue<br />

today to 20%, 4) a tax rate decrease of 2-3% to 22%. The $2.15 EPS suggests a fair<br />

value of $20.8 by end-2014 using our 12x P/E and discounted using 11.4%<br />

WACC.<br />

AMAT laid out similar market share expansion/revenue targets previously, but did<br />

not achieve all of them. In 2012, it aimed to gain market share in the etching and<br />

inspection markets. As per Gartner data, its market share in etching was down 2%<br />

from 2011 to 2012. In 2010, it aimed to gain 4% share in etching and 3% share in<br />

inspection. As per Gartner data, its etching market share increased only 2% from<br />

2009 to 2010, and its wafer inspection market share was only up 1%. In our<br />

opinion, the new president and CFO, both of whom had good executing track<br />

records, may put AMAT in a better position to achieve targets: however, we think<br />

a 2-4% gain is a stretch.<br />

96


Applied Materials Inc<br />

Technology Hardware<br />

We remain cautious about the likelihood of a 2-4% share gain<br />

AMAT is aiming to gain a 2-4% WFE market share by 2016, specifically in etching<br />

and process control. As per Figure 3, the 2-4% share gain is an important element<br />

of AMAT’s bull-case 2016 projection, and accounts for 28% of peak $2.15 EPS.<br />

Compared to a flat market share, the extra 2% and 4% share gain would contribute<br />

a 7% and 10% EPS CAGR respectively.<br />

Figure 3: 4% share gain contributes 27% $2.15 EPS<br />

AMAT projection<br />

<strong>Berenberg</strong><br />

2012 0%<br />

2%<br />

vs<br />

4%<br />

vs<br />

1%<br />

vs<br />

2016<br />

share gain share gain 0% share gain share gain 0% share gain share gain 4% share gain<br />

Share gain 0% 2% 4% 1% -3%<br />

% of WFE 18% 18% 20% 22% 19% -3%<br />

WFE $bn 29.7 37 37 37 37<br />

SSG revenue $bn 5.5 6.7 7.4 11% 8.1 22% 7.0 -13%<br />

Other $bn 3.2 4.3 4.3 4.3 4.3<br />

8.7 11.0 11.7 7% 12.4 13% 11.33 -9%<br />

GM % 40.9% 45% 46% 1% 46% 1% 44% -2%<br />

Opex % 25.0% 20% 20% 0% 20% 0% 20% 20%<br />

Opex $bn 2.2 2.2 2.3 7% 2.5 13% 2.3 -9%<br />

Op% 15.9% 25% 26% 1% 26% 1% 24% -2%<br />

Op profit $bn 1.4 2.7 3.0 11% 3.2 18% 2.7 -16%<br />

tax rate % 26% 22% 22% 0% 22% 0% 22% 0%<br />

EPS $ 0.75 1.55 1.95 26.1% 2.15 39% 1.70 -20.9%<br />

EPS CAGRvs 2012 20% 27% 30% 23%<br />

No. shares mn 1,275 1,140 1,140 1,140 1,140<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

In our opinion, AMAT will gain a 1% WFE share by 2016/2017, but it would be<br />

challenging to gain a 2-4% share as:<br />

1) from 2004 to 2012, AMAT’s share in WFE was between 15-20% (Gartner<br />

data), and it has never before gained more than a 1.1% share within any threeyear<br />

timeframe;<br />

2) AMAT only addresses 72% of the WFE market (Gartner data), so to gain a<br />

further 2-4% share of the total market, it would need to gain by 3-6% from its<br />

current customer base. We consider this to be a challenging target as the<br />

market is highly consolidated and AMAT’s competitors are all also trying to<br />

expand/maintain market share;<br />

3) it may gain 1% share in etching market as silicon etching market expansion,<br />

which is less than 1% WFE share;<br />

4) it may gain a maximum 5% market share in process control, which is a 1%<br />

WFE share, as the process control market is 16% of WFE spending at peak;<br />

5) we do not think AMAT will gain a 4% market share through acquisition in the<br />

etching or process control markets.<br />

Etching – we expect AMAT to gain a 1% share<br />

The etching market is dominated by LAM, which has a 47% market share.<br />

According to Gartner’s forecast, the etching market will remain about flat between<br />

2012 and 2016: although silicon and metal etching will grow, dielectric etching is a<br />

segment in decline.<br />

By our calculations (see Figure 4), AMAT can achieve a 1% etching market share<br />

97


Applied Materials Inc<br />

Technology Hardware<br />

gain through silicon etching expansion – although if it wants a greater share, it will<br />

have to gain it from competitors. This will be difficult as: 1) each of the major<br />

equipment vendors already has an established relationship with one/all of the main<br />

chip-makers; 2) the etching market is expected to be driven by 3D NAND, which<br />

is an area in which AMAT may benefit less than LAM as it has lower memory<br />

exposure. AMAT estimated its NAND sales addressable market (SAM) would<br />

expand by 25% to 35%, versus LAM’s expectation of 35-55%; 3) the growing<br />

complexity of the technology is making it more difficult for companies to compete<br />

through product innovation; and 4) the market has always been competitive. In the<br />

etching market, LAM has recently indicated that it is aiming to gain a 3-5% market<br />

share by 2015/2016. TEL is aiming to increase its etching share from 29% to 35%<br />

by 2015. As a result, we believe AMAT will only gain an extra 1% share, which is<br />

less than 1% WFE share gain (LAM estimates that the etching market is 12-14% of<br />

total WFE).<br />

Figure 4: AMAT may gain a 1% etching share as the<br />

market shifts<br />

$mn 2,012 2016E Share Change<br />

Etching market 4,060 4,291<br />

LAM 42% 45% 3%<br />

AMAT 9% 10% 1%<br />

TEL 35% 32% -3%<br />

hitachi 8% 9% 1%<br />

of which Silicon etching 1920 2326 up c 5%<br />

LAM 1,132 1,371<br />

Hitachi 303 367<br />

AMAT 264 320<br />

TEL 174 210<br />

of which Dielectric etching 1,968 1,855 down c1%<br />

TEL 1,234 1,163<br />

LAM 511 482<br />

AMAT 101 95<br />

of which Metal etching 98 110 up c3%<br />

LAM 76 85<br />

AMAT 14 15<br />

Hitachi 8 9<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

Process control – we expect AMAT to gain maximum 5% share<br />

AMAT has been trying to gain a process control market share from KLA since<br />

2008/2009 but with limited success. In May 2013, it noted an inspection share<br />

gain at both the foundry and logic level, which partly explains KLA’s weak result<br />

last quarter. KLA guided 8% below consensus, and its wafer inspection booking<br />

for Q1 2013 was 20% lower than the peak booking quarter.<br />

In our opinion, AMAT may further gain some share in the process control market,<br />

given that the new president Gary Dickerson is experienced in process control<br />

market (18 years at KLA) and has a good execution track record. However, we<br />

believe AMAT will only achieve a maximum market share of 15%, which was its<br />

peak level between 2009 to 2012, and will only gain a 5% process control market<br />

share (1% of WFE), because:<br />

1) it is a strong player in the defect review market (with a 60% share) – however,<br />

the defect review market only amounts to 6% of the total process control<br />

market, hence it will not in itself be sufficient to drive significant growth;<br />

98


Applied Materials Inc<br />

Technology Hardware<br />

2) AMAT lags KLA in terms of technology and experience – it lost 7% of defect<br />

review market share to KLA in 2012 (see Figure 5) as KLA’s new tool allows<br />

it to identify and analyse 15nm defects which cannot be found by AMAT’s<br />

tool;<br />

3) KLA is well established with the main capex spenders such as Intel, TSMC,<br />

Samsung, Globalfoundries, SK Hynix, Micro/Elpida and Toshiba/Sandisk –<br />

AMAT’s relationship with these companies does not give it a competitive<br />

advantage over KLA.<br />

Figure 5: AMAT’s process control share fell by 2% in 2012<br />

2012<br />

2012 REV 2012 mkt share % 2011 mkt share %<br />

Market size AMAT KLA AMAT KLA AMAT KLA<br />

CD-SEM 533 52 0 9.7% 0.0% 14.0% 0.0%<br />

Mask Inspection/Review 489 66 332 13.5% 67.8% 21.6% 65.3%<br />

Optical Patterned Wafer Inspec 1,393 194 1,159 13.9% 83.2% 12.2% 84.5%<br />

SEM Defect Review and Classif 234 156 54 66.6% 23.0% 73.4% 10.9%<br />

Other Defect Review and Classi 6 0 0 0.0% 0.0% 0.0% 0.0%<br />

AMAT&KLA common mkt 2,656 467 1,545 17.6% 58.2% 20.1% 59.2%<br />

Other markets 1,760 842 47.8% 47.8%<br />

Total Process Control 4,416 467 2,387 10.6% 54.1% 12.1% 54.7%<br />

Source: Gartner data<br />

We do not believe AMAT will gain share through M&A<br />

Historically, AMAT used to gain market share through acquisition – ie it acquired<br />

Varian in 2011 to obtain ion implant market exposure (Varian had a 75% share of<br />

Ion implant market). However, we do not think AMAT will expand through<br />

acquisition in the etching and process control segments:<br />

1) judging by its Varian acquisition, we believe AMAT is only interested in<br />

market leaders, therefore it may only be interested in LAM, KLA and TEL in<br />

etching and process control markets (see Figures 6 and 7 below);<br />

2) we do not think AMAT will acquire LAM or KLA as we do not expect it will<br />

want to further stretch its balance sheet;<br />

3) we do not think AMAT will buy TEL as the latter is mainly exposed to<br />

declining dielectric market.<br />

Figure 6: The etching market is already largely consolidated<br />

100.00%<br />

90.00%<br />

80.00%<br />

70.00%<br />

60.00%<br />

50.00%<br />

40.00%<br />

30.00%<br />

20.00%<br />

10.00%<br />

0.00%<br />

Source: Gartner data<br />

2012 2011 2010<br />

LAM Hitachi AMAT TEL Mattson Others<br />

99


Applied Materials Inc<br />

Technology Hardware<br />

Figure 7: 21% of the process control market is shared by more than 20<br />

players<br />

100%<br />

80%<br />

60%<br />

40%<br />

20%<br />

0%<br />

2012 2011 2010<br />

Source: Gartner data<br />

KLA Hitachi AMAT Nanometrics Hermes Microvision<br />

Carl Zeiss Rudolph Lasertec Nova Toray<br />

Nikon SII Nano Rave Semilab Omron<br />

JEOL Synopsys SEMES Dainippon Advantest<br />

Others<br />

2007 peak revenue will be exceeded in 2016, driven by strong WFE<br />

spending<br />

AMAT’s semiconductor revenue is more closely linked to the WFE spending cycle<br />

compared with other front-end semi equipment vendors (see Figure 8). We believe<br />

this is due to its more diversified product portfolio compared with peers and<br />

balanced revenue exposure to legacy and growing markets (see Figures 9 and 10).<br />

Figure 8: <strong>Semiconductor</strong> revenue is closely linked to WFE spending<br />

200.0%<br />

150.0%<br />

100.0%<br />

50.0%<br />

0.0%<br />

-50.0%<br />

-100.0%<br />

2006 2007 2008 2009 2010 2011 2012<br />

ASML ASMI - front end AMAT TEL KLA LAM WFE<br />

Source: Gartner data, Company data<br />

Figure 9: AMAT has the most diversified product portfolio<br />

<strong>Semiconductor</strong> <strong>Equipment</strong> AMAT LAM ASMI ASML TEL KLA<br />

Lithography<br />

Y<br />

Photoresist Processing (Track)<br />

Y<br />

Deposition Y Y Y Y<br />

Etch, Clean, and Planarization Y Y Y<br />

RTP and Oxidation/Diffusion Y Y Y<br />

Ion Implanter<br />

Y<br />

Process Control Y Y<br />

Manufacturing Automation and Control Y<br />

Wafer-Level Packaging Y Y Y Y<br />

Die-Level Manufacturing <strong>Equipment</strong> Y Y<br />

Test <strong>Equipment</strong><br />

Source: Company data, Gartner data<br />

100


Applied Materials Inc<br />

Technology Hardware<br />

Figure 10: AMAT has the most balanced market exposure<br />

Growth markets exposure 2012 Legacy markets exposure 2012<br />

ASML 100% 0%<br />

ASMI 91% 9%<br />

AMAT 61% 39%<br />

LAM 74% 26%<br />

KLA 100% 0%<br />

TEL 23% 77%<br />

Source: Gartner Data, <strong>Berenberg</strong> estimates<br />

AMAT’s 2016 financial projection assumes WFE spend of $30bn-37bn: the highend<br />

$37bn assumption gives AMAT 30% EPS upside compared with the $30bn<br />

assumption.<br />

Figure 11: WFE spend in 2016 to be $30bn-37bn<br />

AMAT 2016 financial scenarios<br />

bull case<br />

WFE $bn 30.0 33.5 37.0 37.0<br />

Market share 19.9% 19.9% 19.9% 21.9%<br />

Revenue 9.9 10.8 11.7 12.4<br />

SSG 6.0 6.7 7.4 8.1<br />

AGS 2.5 2.6 2.6 2.6<br />

Non semi 1.4 1.5 1.7 1.7<br />

GM 44.0% 45.0% 46.0% 46.0%<br />

Opex 2.1 2.2 2.4 2.5<br />

Opex% 21.0% 21.0% 20.0% 20.0%<br />

OP% 23.0% 24.0% 25.0% 26.0%<br />

EPS 1.5 1.7 1.95 2.15<br />

Share no mn 1,140 1,140 1,140 1,140<br />

Source: Company data<br />

We believe WFE spend can reach $37bn in 2016, which was the peak level seen<br />

in 2011 and 2007. The strong WFE spend is likely to be driven by new technology<br />

such as FinFET, 20nm, 3D NAND and 450mm migration, which is more<br />

complex and capital-intensive than the technology in 2011 and 2007. All recent<br />

WFE spending projections from the industry point to the same conclusion (see<br />

Figure 12).<br />

Figure 12: WFE could reach $40bn by 2017<br />

$bn 2012 2013E 2014E 2015E 2016E 2017E<br />

AMAT 30 -10%~flat yoy up 32~35 37<br />

TEL 30 30 40<br />

LAM 30 28~32<br />

35~40<br />

Gartner 30 27 32 37 36 39<br />

SEMI 30 29 36<br />

<strong>Berenberg</strong> 30 30 33 35 37 40<br />

Source: Gartner data, company data, the SEMI trade organisation, <strong>Berenberg</strong> estimates<br />

101


Applied Materials Inc<br />

Technology Hardware<br />

AMAT is very likely to benefit from the strong spending environment. In our<br />

view, if WFE steadily grows to $40bn in 2017, and assuming AMAT remains its<br />

18% share in WFE (15-20% historically), SSG revenue will grow at c5.4% per year<br />

from 2012 to 2017, and exceed its 2007 peak level of $6.5bn in 2016 ($6.7bn)<br />

without any market share gain.<br />

Figure 13: SSG exceeds 2007 peak in 2016 due to strong WFE spend<br />

$mn<br />

% of WFE<br />

45,000<br />

40,000<br />

35,000<br />

30,000<br />

25,000<br />

20,000<br />

15,000<br />

10,000<br />

5,000<br />

0<br />

2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E<br />

AMAT SSG WFE AMAT SSG/WFE<br />

Source: Company data, Gartner data, <strong>Berenberg</strong> estimates<br />

25.0%<br />

20.0%<br />

15.0%<br />

10.0%<br />

5.0%<br />

0.0%<br />

Operating leverage<br />

At Semicon 2013, AMAT’s management announced its target to decrease opex<br />

from 25% of revenue to 20% of revenue by 2016. The cost-cutting is likely to<br />

come from SG&A by lowering total SG&A from $1bn in 2012 to $840m by 2016<br />

(a 17% reduction). AMAT’s level of innovation will not be impaired by this in our<br />

opinion, as the R&D level is projected to reach 63% of opex from 56% in 2012<br />

(17% higher than the 2012 level in dollar terms).<br />

We see that AMAT is already taking initial steps to reduce its costs: for example, it<br />

will carry out a week-long factory shut down in Q3. Judging by the new president’s<br />

and CFO’s strong execution record in Varian Semi, we believe the cost-cutting<br />

projects will be successful.<br />

Solar and Display remain as profit drag<br />

Display accounted for 5% revenue in 2012, with a 7% operating margin compared<br />

to a 23% corporate average. The segment has recently shown signs of recovery.<br />

Orders increased by 41% qoq in Q2, and returned to the 2011 level. Management<br />

is expecting the strong momentum to continue, with $800m of orders expected in<br />

FY 2013, to reach $1bn in revenue by 2016 (c21%). In our opinion, the display<br />

segment may return to the $1bn revenue level by 2016, and we saw a similar<br />

revenue level back in 2008 and 2010. However, the margin is likely to be dilutive<br />

for the group as 1) the Display division’s operating margin has only once been<br />

higher than SSG’s, in 2009, when SSG’s margin was 8% and was significantly<br />

affected by the financial crisis, and 2) the main TV vendors such as Samsung, TCL<br />

Corp, Sony and Panasonic are either making very lean margins or are loss-making<br />

today, and may therefore place pricing pressure on AMAT.<br />

The EES division (5% group revenue and always loss-making except in 2011) is<br />

likely to remain loss-making in the next few years. Its order level was down by 43%<br />

qoq in Q2, with revenue also down qoq, by 17%. AMAT managed to narrow the<br />

operating loss from 96% to 89% by cutting costs, and is expecting a further $5m<br />

per quarter in cost cuts by the end of 2013. In our opinion, cost-cutting could help<br />

to narrow losses from $184m to $100m in 2013, but breakeven is unlikely in the<br />

next three years.<br />

102


Applied Materials Inc<br />

Technology Hardware<br />

Key catalysts<br />

In our view, the following factors will be the main share price catalysts.<br />

1. <strong>Semiconductor</strong> recovery cycle, higher order intake: As with its peers,<br />

AMAT’s share price is closely correlated to the semiconductor cycle, as<br />

measured by equipment order intake and capex levels. Any sign that the<br />

industry is moving towards the peak cycle will benefit AMAT’s share price.<br />

In our opinion, the industry entered a steady recovery phase in Q1 2013.<br />

ASML, AMAT, KLA, ASMI and TEL have all reported better order intake<br />

levels than in 2012. We expect the positive order intake trend to continue in<br />

H2 2013 and 2014, driven by strong logic/foundry orders and memory<br />

recovery. We believe AMAT’s share price will benefit as a result.<br />

2. Market share gain: Revenue growth for semiconductor equipment<br />

companies is driven by SAM expansion and market share gain. AMAT has<br />

stated in Q2 it had gained market share in the process control market.<br />

Investors were encouraged by its comments regarding process control share<br />

gain.<br />

We do not believe there will be significant sustainable organic market share<br />

gain in this industry. In each of the fabrication process, we now have one well<br />

established dominant player followed by many small players. Any small player<br />

that wants to gain significant market share needs to have better products than<br />

the market leader, and its customers would need to recognise this. However,<br />

given AMAT’s new process control product (Applied SEMVision G6) and its<br />

new president had 18 experience in the market, we expect AMAT to announce<br />

more share gains in coming quarters.<br />

3. Memory customer gain: AMAT’s recent comment about the potential<br />

upside from memory markets does not sound as encouraging as similar<br />

comments made by LAM and KLA. We agree with management that 2014<br />

capex will be driven by NAND and foundry.<br />

In our opinion, if AMAT announce any share gain in memory in the coming<br />

quarters, its revenue upside in 2015 will be bigger than it is today as it would<br />

benefit from 3D NAND-related capex growth. As a result, its revenue and<br />

share price would react positively as a result.<br />

103


Applied Materials Inc<br />

Technology Hardware<br />

Valuation<br />

Our valuation is based on a P/E multiple. Our price target of $14.40 implies a P/E<br />

of 12x based on 2014 EPS estimates of $1.20.<br />

Historically, AMAT has traded between 8x and 24x P/E during the semiconductor<br />

industry recovery cycle (from end-2009 to 2011 – see Figure 14). We believe we<br />

entered the recovery cycle at the start of 2013, when an increase in order levels was<br />

reported by front-end players such as ASML, AMAT, TEL and KLA. AMAT was<br />

trading at 10-14x between June and September 2010, which was six months after it<br />

entered the recovery cycle. Our P/E of 12x is in the middle of range of the<br />

historical 10-14x mid-recovery cycle P/E, and it is in line with its peer group<br />

median multiple based on 2014 earnings.<br />

Figure 14: 8-14x P/E in the middle of the semiconductor recovery cycle<br />

S Bl b d<br />

Source: Bloomberg data<br />

Figure 15: Peer group valuation<br />

Company Name PE EV/EBIT EV/Sales<br />

FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E<br />

NIKON CORP 14.12 13.42 12.25 9.83 8.28 8.53 0.82 0.80 0.87<br />

ASM INTL NV 27.03 14.02 13.19 50.89 10.49 13.21 3.13 1.91 1.52<br />

ASML HOLDING NV 25.04 15.67 12.17 21.81 10.74 2.10 4.80 3.73 0.67<br />

KLA-TENCOR CORP 16.94 13.21 11.26 9.43 7.59 2.97 2.46 2.21 0.95<br />

LAM RESEARCH 20.99 11.64 10.15 14.73 8.28 2.90 1.74 1.44 0.58<br />

ULVAC INC na 10.21 7.36 25.28 12.27 15.08 0.82 0.75 1.04<br />

DAINIPPON SCREEN 28.32 14.13 14.41 67.36 11.63 13.66 0.76 0.70 0.81<br />

TOKYO ELECTRON 48.60 20.98 18.74 25.57 9.75 5.61 1.16 1.02 0.72<br />

Mean 22.63 14.16 12.44 28.12 9.88 8.01 1.96 1.57 0.89<br />

Median 23.01 13.72 12.21 23.55 10.12 7.07 1.45 1.23 0.84<br />

APPLIED MATERIAL 23.30 13.18 11.92 17.43 10.77 4.87 2.35 1.92 0.91<br />

Source: Bloomberg data<br />

104


Applied Materials Inc<br />

Technology Hardware<br />

The share price has increased by 60% since November 2012, mainly due to the<br />

improved semiconductor equipment order intake levels reported, comforting<br />

statements on share gains and SAM expansion potential. Following the rally, the<br />

P/E multiple stands at a higher level compared to the previous recovery cycle<br />

multiple in 2011, without any significant EPS downwards revision. We believe this<br />

multiple expansion is driven by momentum rather than strengthened<br />

fundamentals.<br />

Figure 16: forward P/E is higher than previous recovery cycle<br />

Source: Bloomberg data<br />

Figure 17: No EPS major revision<br />

Source: Bloomberg data<br />

105


Applied Materials Inc<br />

Technology Hardware<br />

Key risks<br />

The key risks to our investment case are as follows.<br />

1. Capex cuts at Samsung, Intel and TSMC: AMAT generated 45% of its<br />

revenue from Samsung, Intel and TSMC in 2012. Any capex cut or investment<br />

delay from these three companies would thus have a significant impact on<br />

AMAT due to the high level of customer/capex spender concentration.<br />

In our opinion, Samsung, Intel and TSMC are not likely to reduce their capex<br />

spending at any time soon. All of them are heavily exposed to the consumer<br />

electronics market, which is highly competitive, and they have to keep up to<br />

speed with the most advanced manufacturing technologies to maintain their<br />

competitive advantage.<br />

2. Sluggish solar energy market: The solar energy market as a whole has<br />

suffered from oversupply in recent years. AMAT’s solar segment (EES) made<br />

an adjusted operating loss of $46m in 2012 before impairment charges. Orders<br />

were down by 88% yoy in 2012, and down by 43% qoq in Q2 2013. This<br />

continuing underperformance will have a negative impact on total corporate<br />

earnings.<br />

In our opinion, the solar market will continue to underperform over the next<br />

two years, until the oversupply issue is resolved. AMAT’s cost saving<br />

programme may only be able to narrow the operating loss down to $137m in<br />

2013 as per our estimate.<br />

106


Applied Materials Inc<br />

Technology Hardware<br />

Financials<br />

AMAT’s revenue includes revenue from selling equipment used in semiconductors<br />

for manufacturing, FPD fabrication and solar PV panel manufacturing processes. It<br />

reports revenue under four segments: SSG, Applied Global Services (AGS),<br />

Display and EES.<br />

Order trend down for the remainder of 2013<br />

SSG order intake has increased by 14% qoq (see Figure 18 below), which trended<br />

to the same direction as its front-end semiconductor equipment peers.<br />

Management guided that the full-year wafer fab equipment market would be<br />

flat/down 10%, hence we expect the order intake to be down in Q3 and Q4, as the<br />

ytd order is already higher than 55% of 2012 total order. In addition, a week-long<br />

factory shutdown is due to take place in Q3, which we believe is an indication that<br />

management may have already noted some early sign of slowing down. As a result,<br />

we expect SSG orders to slow down in Q3 and Q4.<br />

Figure 18: Order trend in 2013<br />

Jan Q1 Apr Q2 Jul Q3 Oct Q4 2012 Jan Q1 Apr Q2 Jul Q3E Oct Q4E 2013E<br />

(Dollars in millions)<br />

SSG 1,418 1,969 1,166 741 5,294 1,363 1,551 1,365 1,338 5,616<br />

AGS 517 650 531 576 2,274 544 481 481 481 1,987<br />

Display 40 84 67 83 274 138 195 218 245 796<br />

EES 33 62 35 65 195 68 39 39 40 186<br />

Total 2,008 2,765 1,799 1,465 8,037 2,113 2,266 2,104 2,103 8,586<br />

Out of total<br />

SSG 71% 71% 65% 51% 66% 65% 68% 65% 64% 65%<br />

AGS 26% 24% 30% 39% 28% 26% 21% 23% 23% 23%<br />

Display 2% 3% 4% 6% 3% 7% 9% 10% 12% 9%<br />

EES 2% 2% 2% 4% 2% 3% 2% 2% 2% 2%<br />

QOQ % change<br />

SSG 53% 39% -41% -36% -- 84% 14% -12% -2% --<br />

AGS -8% 26% -18% 8% -- -6% -12% 0% 0% --<br />

Display 100% 110% -20% 24% -- 66% 41% 12% 12% --<br />

EES -62% 88% -44% 86% -- 5% -43% 1% 1% --<br />

Total 26% 38% -35% -19% -- 44% 7% -7% 0% --<br />

Source: <strong>Berenberg</strong> estimates<br />

Revenue/order by customer/region<br />

Samsung, TSMC and Intel are AMAT’s top three customers, accounting for<br />

32%/45% of the group revenue in 2011/2012 respectively. The majority of its<br />

revenue and order intake is from Asia. We expect to see a similar revenue/order<br />

customer/region mix in the future, as Samsung, TSMC and Intel are expected to<br />

remain the main capex spender in this sector.<br />

107


Applied Materials Inc<br />

Technology Hardware<br />

Figure 19: Revenue by customer<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

2009 2010 2011 2012<br />

Samsung TSMC Intel Others<br />

Source: Company data<br />

Figure 20: Revenue by region<br />

100.0%<br />

90.0%<br />

80.0%<br />

70.0%<br />

60.0%<br />

50.0%<br />

40.0%<br />

30.0%<br />

20.0%<br />

10.0%<br />

0.0%<br />

2009 2010 2011 2012<br />

U.S. Japan Europe Korea Asia Pacific<br />

Source: Company data<br />

Figure 21: Orders by region<br />

100.0%<br />

90.0%<br />

80.0%<br />

70.0%<br />

60.0%<br />

50.0%<br />

40.0%<br />

30.0%<br />

20.0%<br />

10.0%<br />

0.0%<br />

2009 2010 2011 2012<br />

U.S. Japan Europe Korea Asia Pacific<br />

Source: Company data<br />

Revenue – 2014 driven by logic/foundry<br />

In 2014, SSG revenue is expected to increase by 26%, having fallen by 10% in 2013<br />

(see Figure 22 below). The increase is likely to be driven by foundry and logic<br />

108


Applied Materials Inc<br />

Technology Hardware<br />

expanding 20nm output using DP, and by the expansion of HKMG process<br />

adoption.<br />

By late 2014/2015, the semiconductor equipment market will be driven by 3D<br />

NAND- and FinFET-related spending. Recent comments from management<br />

suggest that its expected memory order intake in H2 is likely to be less than 25% of<br />

total orders, which is less positive than KLA’s and LAM’s recent results and<br />

statements.<br />

KLA is expecting memory to account for 44% of the June quarter’s total order<br />

intake, up from 28% in the March quarter and 17% in the December quarter.<br />

LAM’s memory shipment increased from a low 20% of total shipments in<br />

December 2012 to 31% in the March quarter. We therefore believe that AMAT’s<br />

memory exposure is not as great as peers KLA’s and LAM’s, hence its revenues<br />

from the 3D NAND capex boost may be limited. We estimate a much smaller<br />

revenue growth rate (3%) in 2015 following a 26% increase in 2014, due to<br />

AMAT’s memory exposure is lower, and majority of the equipment required for<br />

3D NAND capacity building would be shipping in 2014.<br />

We estimate that the AGS division’s revenue will decrease in 2013, due to the<br />

decreased order levels since H2 2012. Display revenue is expected to grow in 2014<br />

driven by recent order increases, but we remain cautious about the sustainability of<br />

a high order level. We estimate EES activity to remain at a low level, and we do not<br />

expect a major improvement until the industrial oversupply issue has been<br />

resolved.<br />

Figure 22: Revenue model by segment<br />

2011 2012 2013E 2014E 2015E<br />

Revenues<br />

(Dollars in millions)<br />

SSG 5,414.0 5,536.0 4,945.3 6,186.7 6,359.5<br />

AGS 2,413.0 2,285.0 2,037.0 2,115.0 2,237.3<br />

Display 699.0 473.0 616.9 901.6 762.6<br />

EES 1,991.0 425.0 184.3 234.4 267.0<br />

Total 10,517.0 8,719.0 7,783.6 9,437.8 9,626.5<br />

% of total<br />

SSG 51.5% 63.5% 63.5% 65.6% 66.1%<br />

AGS 22.9% 26.2% 26.2% 22.4% 23.2%<br />

Display 6.6% 5.4% 7.9% 9.6% 7.9%<br />

EES 18.9% 4.9% 2.4% 2.5% 2.8%<br />

YOY % change<br />

SSG 2.1% 2.3% -10.7% 25.1% 2.8%<br />

AGS 29.3% -5.3% -10.9% 3.8% 5.8%<br />

Display -22.2% -32.3% 30.4% 46.2% -15.4%<br />

EES 34.5% -78.7% -56.6% 27.2% 13.9%<br />

Total 10.1% -17.1% -10.7% 21.3% 2.0%<br />

Opm (Pro forma)<br />

SSG 32.8% 27.8% 25.0% 26.9% 28.0%<br />

AGS 21.3% 23.2% 22.2% 22.6% 23.7%<br />

Display 22.3% 6.8% 20.3% 23.8% 18.0%<br />

EES 22.4% -43.3% -74.5% -9.0% -8.0%<br />

Total 22.9% 15.8% 14.6% 19.5% 20.4%<br />

Source: <strong>Berenberg</strong> estimates<br />

109


Applied Materials Inc<br />

Technology Hardware<br />

Margin to expand with revenue growth and cost saving<br />

The SSG operating margin is expected to be down in 2013, with the cost saving<br />

expected from its planned factory shutdown offset by the low margin achieved in<br />

the first two quarters. However, we believe that the SSG margin will improve over<br />

the next two years driven by an increase in the top line and further cost-saving<br />

initiatives. We expect that the AGS operating margin will move with the top line,<br />

and hence also expand in 2014 and 2015. The Display division’s operating margin<br />

is projected to be 24% in 2014, due to the higher revenue level expected in this<br />

segment. We expect display’s revenue to drop by 24% in 2015 after 54% growth in<br />

2014, as we do not believe the strong order momentum will last. We believe that<br />

the loss in EES will narrow over time from $184m in 2012 to $137m in 2013,<br />

driven by the ongoing cost-saving programme.<br />

We estimate the gross margin will expand as revenues increase, from 40.9% in<br />

2012 to 42.1% in 2013 and 43.6% in 2014.<br />

Figure 23: Profit and loss<br />

Profit and Loss 2011 2012 2013E 2014E 2015E<br />

(Dollars in millions)<br />

Revenues 10,517.0 8,719.0 7,783.6 9,437.8 9,626.5<br />

YOY % 10.1% -17.1% -10.7% 21.3% 2.0%<br />

Cost of goods sold 6,118.8 5,153.0 4,504.3 5,317.6 5,451.4<br />

Gross profit (proforma) 4,398.2 3,566.0 3,279.2 4,120.1 4,175.1<br />

Gross margin (proforma) 41.8% 40.9% 42.1% 43.7% 43.4%<br />

Research & development 1,113.0 1,236.0 1,283.6 1,379.3 1,347.7<br />

Marketing & selling 436.4 471.8 413.7 445.3 442.8<br />

General & administrative 439.0 479.2 447.4 453.0 423.6<br />

Operating Income (proforma) 2,409.8 1,379.0 1,134.5 1,842.4 1,961.0<br />

OP margin (proforma) 22.9% 15.8% 14.6% 19.5% 20.4%<br />

Interest & other expense (income) 16.0 78.0 41.0 0.0 0.0<br />

PBT (Pro forma) 2,393.8 1,301.0 1,093.5 1,842.4 1,961.0<br />

Taxes (proforma) 670.0 342.0 294.5 460.6 451.0<br />

Tax rate 28.0% 26.3% 26.9% 25.0% 23.0%<br />

Net income before JV (proforma) 1,723.8 959.0 799.0 1,381.8 1,510.0<br />

EPS - Pro forma $1.30 $0.75 $0.67 $1.17 $1.30<br />

YOY % 47.7% -42.4% -16.7% 66.2% 4.0%<br />

Common shares (millions) 1,329.8 1,274.8 1,203.8 1,197.5 1,194.5<br />

Source: <strong>Berenberg</strong> estimates<br />

Dividends and share buyback<br />

AMAT has a history of paying a stable level of dividends. In March 2013, it raised<br />

its quarterly dividend level from $0.09 to $0.10. Assuming it maintains its dividend<br />

level, the payout ratio will be 33.5% and 30.5% in 2014 and 2015 respectively by<br />

110


Applied Materials Inc<br />

Technology Hardware<br />

our estimates. Compared to its peers, AMAT’s payout ratio is higher than ASML (<br />

17% payout ratio), and lower than TEL (35% payout ratio).<br />

Figure 24: Dividend payout<br />

2010 2011 2012 2013E 2014E 2015E<br />

Dividends/share $ 0.26 0.24 0.33 0.38 0.40 0.41<br />

Payout ratio 29.5% 18.2% 44.6% 57.0% 34.7% 31.7%<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

AMAT repurchased $1,416m in shares in 2012. The total share buyback over the<br />

past three years stands at $2,234m, which is 11% of its market cap. We believe<br />

AMAT will keep returning cash to shareholders through share buyback and<br />

dividends.<br />

Balance sheet and cash flow<br />

Following the aggressive share buyback and the $4.9bn Varian acquisition, AMAT’s<br />

balance sheet is not as strong as it used to be. It had net cash of $904m at end-Q2.<br />

We expect a net cash balance of $1,302m at end-2013.<br />

In the absence of large-scale M&A, we expect AMAT to continue to generate<br />

positive cash flow. We estimate that the company generated free cash flow of<br />

$978m in 2013, $1,845m in 2014 and $1,929m in 2015, representing an FCF yield<br />

of 5%, 10% and 11% for 2013, 2014 and 2015 respectively.<br />

111


Applied Materials Inc<br />

Technology Hardware<br />

Company background<br />

AMAT was the biggest semiconductor equipment supplier worldwide by revenue<br />

in 2012 according to Gartner data (see Figure 25). It supplies semiconductor<br />

fabrication equipment, FPD fabrication equipment and solar PV manufacturing<br />

systems. AMAT’s customers include manufacturers of semiconductor chips, LCDs,<br />

solar PV cells and modules, and other electronic devices.<br />

Figure 25: AMAT revenue split<br />

100.0%<br />

90.0%<br />

80.0%<br />

70.0%<br />

60.0%<br />

50.0%<br />

40.0%<br />

30.0%<br />

20.0%<br />

10.0%<br />

0.0%<br />

Source: Gartner Data<br />

2008 2009 2010 2011 2012<br />

Deposition Etch Clean&Planarization<br />

RTP&Oxidation/Diffusion Ion Implanter Process Control<br />

Automation&Control<br />

Wafer-Level Packaging<br />

Source: Gartner data<br />

AMAT operates in four reportable segments: Silicon Systems Group (SSG),<br />

Applied Global Services (AGS), Display and EES.<br />

Figure 26: Segments<br />

SSG<br />

Description<br />

Supplies semiconductor equipment used in chip fabrication processes including:<br />

- Deposition,<br />

- Etching,<br />

- Cleaning & Planarization,<br />

- Rapid Thermal Processing, Oxidation, Diffusion,<br />

- Ion Implant,<br />

- Process control,<br />

- Wafer level packaging.<br />

AGS<br />

Helping customers to lower cost, improve equipment and fab performance and maximise return on asset.<br />

- Provides semiconductor, display and solar customers with solutions that maximize output and<br />

efficiency<br />

- Largest supplier of software for automating semiconductor, display and solar factories<br />

Display<br />

Suppliers TFT-LCD equipment and services, which help make flat panel TVs more than 20% larger each<br />

year without price increases<br />

EES<br />

Supplies PV equipment used in:<br />

- Produce crystalline-silicon (c-Si), Solar PV cell and modules,<br />

- Help accelerate global PV adoption by delivering cost-effective solutions to improve productivity and<br />

increase cell efficiency<br />

Source: Company data<br />

112


Applied Materials Inc<br />

Technology Hardware<br />

Compared to other major semiconductor equipment companies, AMAT has the<br />

broadest product portfolio, used in different chip fabrication processes (see Figure<br />

27).<br />

Figure 27: product portfolio of equipment vendors<br />

<strong>Semiconductor</strong> <strong>Equipment</strong> AMAT LAM ASMI ASML TEL KLA<br />

Lithography<br />

Y<br />

Photoresist Processing (Track)<br />

Y<br />

Deposition Y Y Y Y<br />

Etch, Clean, and Planarization Y Y Y<br />

RTP and Oxidation/Diffusion Y Y Y<br />

Ion Implanter<br />

Y<br />

Process Control Y Y<br />

Manufacturing Automation and Control Y<br />

Wafer-Level Packaging Y Y Y Y<br />

Die-Level Manufacturing <strong>Equipment</strong> Y Y<br />

Test <strong>Equipment</strong><br />

Source: Company data<br />

Acquisitions<br />

AMAT has been active in M&A during the past decade, acquiring Varian in 2011<br />

and Semitool in 2009 – and although it attempted to acquire ASMI in 2008, it was<br />

not successful. None of the companies that AMAT has acquired in the past few<br />

years operate in the same segments as AMAT – thus there is no overlap with<br />

AMAT in terms of market share. All the acquired companies have a dominant<br />

market share in their respective segments. We believe that AMAT’s strategy is to<br />

expand its total addressable market through acquisition, and to grow organically in<br />

the areas in which it currently has a position.<br />

Figure 28: M&A deals<br />

2011 Acquire<br />

Name Amount Description Synergy for AMAT<br />

Varian <strong>Semiconductor</strong><br />

<strong>Equipment</strong> Associates,<br />

Inc<br />

$4,900mn<br />

- Gain exposure to ion implantation market, where it do not<br />

Leading supplier of ion have any share before and Varian had 75% share,<br />

implantation equipment to -Enhance AMAT's Baccini Solar product portfolio with c-Si<br />

the semiconductor and products,<br />

solar industries - Supply chain synergy of $50-60mn in annual cost from<br />

end of 2nd year.<br />

2009 Acquire Semitool Inc $346mn<br />

2008 Rejected ASM International $800mn<br />

Supply equipment used in<br />

chip packaging<br />

<strong>Semiconductor</strong> equipment<br />

vendor specialised in<br />

ALD deposition tool<br />

-Get exposure to advanced packaging and memory<br />

industry's conversion to copper interconnect.<br />

- Enhance its deposition product portfolio further,<br />

- Benefit from ASMI's comprehensive IP portfolio on<br />

ALD equipment.<br />

Source: Company data, <strong>Berenberg</strong>, Bloomberg data<br />

AMAT shareholder structure<br />

AMAT has approximately 1,203m outstanding ordinary shares listed on the<br />

NASDAQ stock exchange. The free-float percentage is 99%. The shareholder<br />

structure in June 2013 is shown below.<br />

113


Applied Materials Inc<br />

Technology Hardware<br />

Figure 29: Holding structure in June 2013<br />

Harris Associates, 6%<br />

Others,<br />

84%<br />

Blackrock, 5%<br />

Vanguard, 5%<br />

State Street, 0%<br />

Source: Bloomberg data<br />

Management<br />

Chairman and CEO, Michael Splinter<br />

Michael Splinter took over the role of CEO in April 2003, and has been chairman<br />

of the board of directors since March 2009. Prior to joining AMAT, Mr Splinter<br />

was at Intel Corporation, where he held a number of positions, including executive<br />

vice president and director of sales and marketing, and executive vice president and<br />

general manager of the technology and manufacturing group.<br />

CFO, Bob Halliday<br />

Bob Halliday was appointed senior vice president and CFO in February 2013, after<br />

the former CFO, George Davis, left to join Qualcomm. Mr Halliday was executive<br />

vice president and CFO of Varian <strong>Semiconductor</strong> <strong>Equipment</strong> Associates prior to<br />

AMAT’s acquisition of the company in November 2011. Since the acquisition of<br />

Varian, Mr Halliday served as general manager of the implant business unit within<br />

AMAT’s SSG. He previously worked at Ionics, a manufacturer of water treatment<br />

capital equipment, where he served as COO and CFO.<br />

President, Gary Dickerson<br />

Gary Dickerson became president of AMAT in June 2012, and has been working<br />

on integrating newly-acquired companies since joining AMAT following its<br />

acquisition of Varian in November 2011. Mr Dickerson was CFO and a director of<br />

Varian from 2004 until Varian was acquired by AMAT. Prior to joining Varian in<br />

2004, Mr Dickerson served 18 years with KLA-Tencor Corporation, a supplier of<br />

process control and yield management solutions for the semiconductor and related<br />

industries, where he held a number of operations and product development roles<br />

before being appointed COO in 1999 and then president and COO in 2002.<br />

CTO, Om Nalamasu<br />

Om Nalamasu has been group vice president and chief technology officer at<br />

AMAT since January 2012, having served as corporate vice president and chief<br />

technology officer since January 2011. Nalamasu joined AMAT in June 2006, and<br />

until January 2011 was vice president of research and served as deputy chief<br />

technology officer and general manager of the company’s Advanced Technologies<br />

Group.<br />

General manager of SSG, Ranhir Thakur<br />

An executive vice president and general manager of SSG since December 2009,<br />

Ranhir Thakur previously served as senior vice president and general manager of<br />

SSG, and before then as senior vice president and general manager of the Thin<br />

Film Solar and Display division.<br />

114


Applied Materials Inc<br />

Technology Hardware<br />

Financials<br />

Profit and loss account<br />

Year-end October (USD m) 2011 2012 2013E 2014E 2015E<br />

Sales 10,517.0 8,719.0 7,783.6 9,437.8 9,626.5<br />

Cost of sales 6,118.8 5,153.0 4,504.3 5,317.6 5,451.4<br />

Gross profit 4,398.2 3,566.0 3,279.2 4,120.1 4,175.1<br />

Sales and marketing 436.4 471.8 413.7 445.3 442.8<br />

General and administration 439.0 479.2 447.4 453.0 423.6<br />

Research and development 1,113.0 1,236.0 1,283.6 1,379.3 1,347.7<br />

Other operating income - - - - -<br />

Other operating expenses - - - - -<br />

Unusual or infrequent items 0.0 0.0 0.0 0.0 0.0<br />

EBIT 2,409.8 1,379.0 1,134.5 1,842.4 1,961.0<br />

Interest income 0.0 0.0 0.0 0.0 0.0<br />

Interest expenses 16.0 78.0 41.0 0.0 0.0<br />

Income on ordinary activities before taxes 2,393.8 1,301.0 1,093.5 1,842.4 1,961.0<br />

Extraordinary income/loss 0.0 0.0 0.0 0.0 0.0<br />

EBT 2,393.8 1,301.0 1,093.5 1,842.4 1,961.0<br />

Taxes 670.0 342.0 294.5 460.6 451.0<br />

Net income from continuing operations 1,723.8 959.0 799.0 1,381.8 1,510.0<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

Balance sheet<br />

Year-end October (USD m) 2011 2012 2013E 2014E 2015E<br />

Property, plant and equipment 866.0 910.0 882.0 914.0 926.0<br />

Other Assets 1,709.0 5,035.0 4,815.0 4,595.0 4,375.0<br />

Fixed Assets 2,575 5,945 5,697 5,509 5,301<br />

Inventories 1,701 1,272 1,331 1,278 1,228<br />

Accounts receivable 1,532 1,220 1,286 1,235 1,186<br />

Other current assets 879 673 750 750 750<br />

Liquid assets 7,174 2,992 3,248 4,214 5,265<br />

Current Assets 11,286 6,157 6,615 7,477 8,429<br />

TOTAL 13,861 12,102 12,312 12,986 13,730<br />

Shareholders' equity 8,800 7,235 7,393 8,068 8,811<br />

Minority interest 0 0 0 0 0<br />

Long-term debt 1,947 1,946 1,946 1,946 1,946<br />

Non-current liabilities 8,800 7,235 7,393 8,068 8,811<br />

Accounts payable 2,794 2,265 2,322 2,322 2,322<br />

Other liabilities 320.0 656.0 650.0 650.0 650.0<br />

Current liabilities 2,794 2,265 2,322 2,322 2,322<br />

TOTAL 13,861 12,102 12,312 12,986 13,730<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

115


Applied Materials Inc<br />

Technology Hardware<br />

Cash flow statement<br />

USD m 2011 2012 2013E 2014E 2015E<br />

Net profit/loss 1,928.0 109.0 450.8 1,381.8 1,470.8<br />

Depreciation and Amortisation 246.0 422.0 416.0 408.0 408.0<br />

Other 250.0 993.0 397.1 132.0 132.0<br />

Cash flow from operations before changes in w/c 2,426.0 1,851.0 1,152.8 2,024.9 2,109.8<br />

Change in working capital 4.0 327.0 -111.1 103.1 99.0<br />

Cash flow from operating activities 2,426.0 1,851.0 1,152.8 2,024.9 2,109.8<br />

Capex -209.0 -162.0 -175.0 -180.0 -180.0<br />

Payments for acquisitions 0.0 -4,900.0 0.0 0.0 0.0<br />

Other investing cash flow 919.0 392.0 292.0 0.0 0.0<br />

Cash flow from investing activities 710.0 -4,670.0 117.0 -180.0 -180.0<br />

Long Term Debt 1,730.0 0.0 0.0 0.0 0.0<br />

Short Term Debt 0.0 -1.0 0.0 0.0 0.0<br />

Repayment of borrowings 0.0 0.0 0.0 0.0 0.0<br />

Purchase of own shares -350.0 -1,416.0 -348.0 -400.0 -400.0<br />

Inflow resulting from the issue of shares 49.0 97.0 85.0 0.0 0.0<br />

Dividends paid -314.0 -424.0 -455.6 -479.2 -479.2<br />

Cash flow from financing activities 960.0 -1,744.0 -718.6 -879.2 -879.2<br />

Effects of exchange rate changes on cash 6.3 -5.0 0.0 0.0 0.0<br />

Increase/decrease in liquid assets 4,102.3 -4,568.0 551.2 965.7 1,050.6<br />

Liquid assets at end of period 5,959.0 1,392.0 1,943.2 2,908.9 3,959.5<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

116


Tokyo Electron Ltd<br />

Technology Hardware<br />

Mainly exposed to legacy markets<br />

• We initiate coverage of TEL with a Sell recommendation and a<br />

price target of ¥4,331. TEL supplies equipment used for<br />

semiconductor chip fabrication, FPD and photovoltaic (PV)<br />

manufacturing. We are sellers because: 1) 77% of the revenues from<br />

its semiconductor precise equipment (SPE) segment (which accounts<br />

for 79% of revenue, and 100% profit) comes from lowgrowth/declining<br />

markets; 2) the loss-making FPD and PV segment is<br />

likely to remain weak, as the TV and solar markets remain sluggish.<br />

• Key debates: 1) Can TEL benefit from new chip designs including<br />

FinFET, 20nm and 3D NAND? 2) Has the recent depreciation of the<br />

yen improved TEL’s competitive position versus other equipment<br />

vendors? 3) Can it gain market share in the silicon etching market?<br />

1. We estimate TEL’s SPE revenue will grow by 7% in 2013<br />

and 6% in 2014 and will decline by 5% in 2015: The level of<br />

growth is unlikely to be as strong as peers as its SPE revenue is<br />

exposed to low-growth markets, such as photoresist processing,<br />

dielectric etching and LP CVD,<br />

2. We believe the depreciated yen versus the dollar will have a<br />

very limited impact on TEL: We do not think chip-makers will<br />

switch vendors purely for cost reasons, as they would incur extra<br />

expenses related to process reconfiguration/testing. TEL’s ASP<br />

may look attractive compared to peers AMAT and LAM, but<br />

other Japan-based competitors (ie Hitachi, Dainippon) have the<br />

same advantage.<br />

3. Management aims to grow its silicon etching market share,<br />

with growth driven by 20nm, FinFET and 3D NAND: We<br />

remain sceptical about whether TEL can increase its silicon<br />

etching share. It currently holds a 9% market share, but has fallen<br />

behind LAM, AMAT and Hitachi (an 89% combined share).<br />

These latter three already have strong positions with the main<br />

foundry, logic and memory players, so we see little room for<br />

TEL to expand.<br />

• Compared to consensus, our FY 2014 and FY 2015 EPS are 8%<br />

and 10% lower: We estimate revenue will remain flat from FY 2014<br />

to FY 2015, given TEL’s 60% exposure to low growth markets, while<br />

consensus is expecting 4% growth.<br />

• Our ¥4,331 PT implies an EV/sales of 0.9x, which is the middle of<br />

the historical EV/sales range (0.7-1.2x) during the semiconductor<br />

recovery cycle.<br />

Sell (initiation)<br />

Rating system<br />

Current price<br />

JPY 4,790<br />

Absolute<br />

Price target<br />

JPY 4,331<br />

19/07/2013 Tokyo Close<br />

Market cap JPY 910,222 bn<br />

Reuters 8035.T<br />

Bloomberg 8035 JT<br />

Share data<br />

Shares outstanding (m) 179<br />

Enterprise value (JPY bn) 660<br />

Daily trading volume 2,025,887<br />

Performance data<br />

High 52 weeks (JPY) 5,980<br />

Low 52 weeks (JPY) 3,225<br />

Relative performance to SXXP TOPIX<br />

1 month -6.0 % -9.7 %<br />

3 months -2.8 % -3.4 %<br />

12 months 12.6 % -31.2 %<br />

Key data<br />

Price/book value 1516.5<br />

Net gearing 0.0%<br />

CAGR sales 2012-2015 6.0%<br />

CAGR EPS 2012-2015 84.8%<br />

Business activities:<br />

Tokyo Electron supplies equipment used<br />

for semiconductor chip fabrication, flat<br />

panel display and photovoltaic<br />

manufacturing.<br />

Y/E 31.03., JPY bn 2011 2012 2013E 2014E 2015E<br />

Sales 633 497 549 596 593<br />

EBIT 60 13 17 53 52<br />

Net profit 37 6 15 39 39<br />

Y/E net debt (net cash) -247 -240 -250 -275 -321<br />

EPS (GAAP) 205.04 34.07 82.02 218.51 214.99<br />

EPS (Proforma) 205.04 34.07 82.02 218.51 214.99<br />

CPS 1380.00 1340.17 1396.31 1532.27 1793.15<br />

DPS 128.98 51.99 50.00 76.00 75.00<br />

Gross margin 33.4% 31.9% 31.7% 33.3% 31.6%<br />

EBIT margin 9.5% 2.5% 3.2% 8.9% 8.8%<br />

Dividend yield 2.5% 1.0% 1.0% 1.5% 1.5%<br />

ROCE 6.2% 1.0% 2.4% 6.3% 5.9%<br />

EV/sales 1.0 1.3 1.2 1.1 1.1<br />

EV/EBIT 11.0 53.4 38.0 12.0 11.3<br />

P/E 24.8 149.1 61.9 23.2 23.6<br />

Source: Company data, <strong>Berenberg</strong><br />

22 July 2013<br />

Tammy Qiu<br />

Analyst<br />

+44 20 3207 2673<br />

tammy.qiu@berenberg.com<br />

Jean Beaubois<br />

Specialist Sales<br />

+44 20 3207 7835<br />

jean.beaubois@berenberg.com<br />

117


Tokyo Electron Ltd<br />

Technology Hardware<br />

Investment summary<br />

Our investment thesis on Sell-rated TEL is predicated on the following five points.<br />

• TEL is mainly exposed to legacy markets: TEL generates 77% of its SPE<br />

revenue from low-growth/declining markets. Compared to its peers ASML,<br />

ASMI, AMAT, KLA and LAM, which respectively have 0%, 9%, 39%, 0% and<br />

26% of their revenue exposed to low-growth/declining markets, TEL’s growth<br />

opportunity from expansion in its current markets is the weakest of the six<br />

companies. Therefore, we do not believe TEL will benefit from new chip<br />

designs/semiconductor technology as much as its peers.<br />

• TEL unlikely to return to 2007 peak: At the Semicon 2013 event in the US in<br />

July, TEL shared its bull-case scenario. It expects its FY 2017 revenues (ie to<br />

end-March 2018) to return to the FY 2007 peak level of ¥906bn from ¥497bn<br />

in 2012, driven by market expansion and share gains in etching and cleaning<br />

processes. We do not believe that TEL will be able to return to its 2007 peak<br />

level for the following reasons.<br />

o Revenue failed to return to the 2007 peak level during the last peak cycle<br />

(2009-2011). TEL’s revenue reached ¥668bn during this period, which was<br />

26% lower than the 2007 peak. The operating margin was 15% at the peak,<br />

compared with 19% in 2007. We believe that this lower revenue and margin<br />

was due to the impact of its exposure to low-growth markets.<br />

o TEL’s SPE revenue as a percentage of total wafer fab equipment (WFE)<br />

spending decreased from 20% in 2007 to 13% in 2012 excluding the impact<br />

of FX movements. We estimate TEL’s share of WFE decrease to 12% in<br />

2015 and 11% in 2017, as it is less likely to benefit from the new chip<br />

designs compare with its peers.<br />

o Our FY 2017 revenue estimate for TEL is ¥662bn (27% lower than TEL’s<br />

bull-case scenario of ¥906bn), including SPE revenue of ¥450bn (applying<br />

an 11% share of total WFE spending to TEL’s 2017 WFE estimate of<br />

$40bn), FPD/PV revenue of ¥101bn (in line with the 2007 peak level, plus<br />

¥32bn in solar revenue), and components revenue of ¥111bn (in line with<br />

the 2007 peak). Our operating margin in FY 2017 is 15% (TEL’s estimate:<br />

19%), including a ¥30bn cost saving compared with the 2012 level of<br />

¥146bn.<br />

Figure 1: We do not think TEL will return to 2007 peak<br />

2007 2010 2012<br />

2017E 2017E<br />

TEL <strong>Berenberg</strong><br />

Delta %<br />

Revenue JPY bn 906.1 668.7 497.3 906.0 661.8 -27.0%<br />

SPE JPY bn 726.4 511.3 392.1 450.0<br />

FPD/PV JPY bn 68.0 66.7 20.2 100.7<br />

Components 111.1 91.3 84.7 111.1<br />

OPM % 18.6% 14.6% 2.5% 19.0% 15.4% -3.6%<br />

OP profit JPY bn 168.5 97.6 12.5 172.1 102.2 -40.6%<br />

tax rate (effective) % 18.3% 26.9% 64.1% 30.0% 30.0% 0.0%<br />

EPS JPY 594.0 401.7 34.1 672.5 399.2 -40.6%<br />

no. share mn 178.9 179.0 179.2 179.2 179.2 0.0%<br />

Source: Company data, <strong>Berenberg</strong> estimate<br />

118


Tokyo Electron Ltd<br />

Technology Hardware<br />

o AMAT and LAM are also aiming to gain market share: AMAT is aiming to<br />

gain a 2-4% WFE share by 2016, and LAM is looking to gain a 3-5% share<br />

in the etching market and a 5-10% share in the cleaning market. In our view,<br />

TEL is in a weaker position compared with AMAT and LAM in terms of<br />

gaining share, as it does not have an established market position or<br />

experience in these growing markets.<br />

• Little upside from operating leverage and yen depreciation: TEL’s margin<br />

may improve due to cost savings, but we believe the margin is unlikely to show<br />

significant improvement without top-line growth. We estimate that the gross<br />

margin will stay at the 33% level (34% in 2007) after cost savings are taken into<br />

account. We estimate the operating margin will be 9% in 2015 (compared with<br />

19% in 2007), assuming that the ¥10bn saving planned for 2013 happens each<br />

year thereafter.<br />

• Yen depreciation has had little impact: In our opinion, the yen depreciation<br />

has had little impact on TEL from both an operational and competitiveness<br />

perspective, as: 1) its operations are not heavily exposed to FX movements in<br />

terms of yen contract prices and its manufacturing plants are located in Japan,<br />

meaning that the effect on its operations/cost base is limited; 2) we believe<br />

chip-makers care more about tool performance, reliability and supporting<br />

services than price; and 3) Japan-based Hitachi and Dainippon have the same<br />

FX advantage.<br />

• The FPD/PV segment remain a profit drag: In our opinion, the FPD/PV<br />

segment was always been a profit-dilutive segment for TEL. Between 2004 and<br />

2012, the segment’s margin was 10% at peak, which is lower than the SPE<br />

margin (which averaged 12.5% in 2004-2012). We estimate the segment will be<br />

loss-making in 2013, 2014 and 2015 due to the weak TV and solar markets.<br />

Management is targeting a profit in the solar business in two years’ time, but<br />

expects it to remain sluggish in 2013 and 2014. A weak performance may trigger<br />

impairments on the ¥22bn goodwill related to the Oerlikon Solar acquisition in<br />

2012.<br />

• Valuation: Our price target of ¥4,331 implies an EV/sales of 0.9x, which is the<br />

middle of the historical EV/sales range of 0.7x-1.2x during the semiconductor<br />

recovery cycle. We base our valuation on EV/sales instead of P/E, as TEL<br />

trades at a premium P/E compared to its peers due to its strong cash position.<br />

We believe EV/sales is the valuation methodology to reflect its weaker growth<br />

potential compared with ASML, AMAT and ASMI.<br />

TEL’s ROE is lower than ASML’s and AMAT’s as its operating margin is<br />

lower. It has the strongest cash position among its peers.<br />

Figure 2: Valuation matrix<br />

ROE*<br />

Dividend<br />

yield*<br />

FCF yield* Net cash/marcap<br />

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%<br />

No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%<br />

No.3 SUSS 9.1% ASML 0.9% ASML 4.5% AMAT 16.7%<br />

No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%<br />

No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%<br />

*base on <strong>Berenberg</strong> estimation of 2014 performance<br />

Net cash base on 2012 YE balance<br />

Source: <strong>Berenberg</strong> estimate, company data<br />

119


Tokyo Electron Ltd<br />

Technology Hardware<br />

Key catalysts<br />

Key catalysts would include the following.<br />

• <strong>Semiconductor</strong>/FPD/solar order recovery: In our opinion, TEL’s SPE<br />

orderbook will likely remain flattish in the FY 2013 as weaker foundry spending<br />

is offset by increasing memory spending, although we expect a slight increase<br />

towards the end of CY 2013. Nevertheless, we do not expect flat panel and<br />

solar orders to recover in the next few quarters.<br />

• Market share gain in the silicon etching segment: We believe TEL has little<br />

room to expand in the global silicon etching market, as LAM, Hitachi and<br />

AMAT already hold a combined 89% market share, and have penetrated all the<br />

mainstream chip-makers.<br />

Key risks<br />

Key risks include the following.<br />

• The FPD/solar segments remain a drag on group profit: The<br />

underperformance of the FPD and solar segments are in line with what we are<br />

seeing at AMAT. We expect these two divisions to remain sluggish and indeed<br />

loss-making in 2014 and 2015.<br />

• <strong>Semiconductor</strong> spending pause: We are not concerned about the expected<br />

pause in semi capex over the next four years, as chip-makers are ramping up<br />

new chip designs such as FinFET, 20nm and 3D NAND. However, TEL may<br />

benefit from this ramp-up to a lesser extent compared with front-end peers<br />

such as AMAT, ASML and ASMI as 77% of its SPE revenue is exposed to lowgrowth<br />

markets.<br />

120


Tokyo Electron Ltd<br />

Technology Hardware<br />

TEL is mainly exposed to legacy markets<br />

TEL supplies SPE, FPD/PV equipment and electronic components and computer<br />

networks (EC) equipment. The SPE segment generates 79% of group revenue and<br />

100% of its profit. The FPD/PV division is currently loss-making and the EC<br />

division has a 2% margin. We believe that TEL’s performance will be mainly<br />

driven by the SPE segment; any positive newsflow related to other segments may<br />

help the share price but not its business fundamentals.<br />

In the SPE segment, TEL has a diversified product portfolio including photoresist<br />

processing, deposition, etching and wafer level packaging equipment. It generates<br />

the majority of its revenue from the photoresist processing, deposition and etching<br />

markets (see Figure 3 below).<br />

Figure 3: TEL’s revenue from equipment<br />

Etch, 27%<br />

Clean, and<br />

Planarization,<br />

11%<br />

Deposition, 17%<br />

RTP and<br />

Oxidation/Diffusion, 5%<br />

Photoresist<br />

Processing<br />

(Track), 34%<br />

Wafer-Level Packaging, 6%<br />

Source: Gartner data, <strong>Berenberg</strong> estimates<br />

TEL generates 77% of its SPE revenue from its legacy markets such as photoresist<br />

processing, LP CVD and dielectric etching, where it holds dominant market<br />

shares. It has 23% of SPE revenue coming from growing markets such ALD and<br />

silicon etching, where its market share is relatively small (see Figure 4 below).<br />

Compared to peers ASML, ASMI, AMAT, KLA and LAM, we believe TEL’s<br />

growth perspective to be the weakest, due to its high exposure in legacy markets.<br />

Consequently, it may not stand to benefit as much from new chip<br />

designs/semiconductor technology as its peers.<br />

As shown in Figure 4 below, ASML’s, ASMI’s, AMAT’s, KLA’s and LAM’s<br />

exposure to legacy markets are much smaller compared to TEL. If we assume that<br />

market shares will remain the same, TEL is likely to have the lowest growth rate<br />

among its peer group during 2012-2017.<br />

121


Tokyo Electron Ltd<br />

Technology Hardware<br />

Figure 4: TEL is mainly exposed to legacy markets<br />

Market exposure<br />

High Growth markets<br />

2012-<br />

2017<br />

CAGR<br />

% of<br />

revenue<br />

2012<br />

market<br />

share<br />

Low Growth/Declining markets<br />

Market exposure<br />

ASML Lithograhy +18% 100% 74% no exposure to low growth market<br />

2012-<br />

2017<br />

CAGR<br />

% of<br />

revenue<br />

2012<br />

market<br />

share<br />

2012-<br />

2017<br />

Growth<br />

CAGR<br />

18.0%<br />

ASMI ALD deposition +15.0% 60% 45% LP CVD 1.0% 9% 51%<br />

Epitaxy +7.5% 17% 9%<br />

PE CVD +5.0% 14% 9%<br />

91% 9%<br />

AMAT PE CVD +5.0% 19% 47% Ion implanter -2.5% 11% 76%<br />

Epitaxy +8.0% 11% 89% Dielectric etching 0.5% 1% 5%<br />

Silicon etching +4.0% 8% 14% PVD 0.0% 21% 78%<br />

CMP&cleaning +3.0% 6% 59% RTP Thermal -3.0% 7% 42%<br />

Process control +6.0% 9% 76%<br />

Wafer level packaging excl conta +12.0% 6% 9%<br />

Fab automation +4.0% 3% 16%<br />

61% 39%<br />

KLA process control +6.0% 100% 54% no exposure to low growth market<br />

LAM Silicon etching +4.0% 50% 59% Dielectric etching 0.5% 14% 26%<br />

Metal etching +6.0% 4% LP CVD 1.0% 3% 10%<br />

Wafer level packaging excl conta +12.0% 1% Bevel edge removal -2.4% 3% 100%<br />

PE CVD +5.0% 15% 40% Cleaning (spin.spray processor) -2.0% 6% 15%<br />

ECD +4.0% 4%<br />

74% 26%<br />

TEL ALD deposition +15.0% 6% 44% Photoresist processing 1.4% 34% 89%<br />

Silicon etch +4.0% 8% 9% LP CVD 1.0% 12% 51%<br />

WL packaging-excl contact prob +12.0% 5% 3% Dielectric etching 0.5% 20% 63%<br />

WL packaging- contact prob +3.0% 4% 39% RTP oxidisation/diffusion -1.5% 3% 22%<br />

Cleaning (spin.spray processor) -2.0% 9% 13%<br />

23% 77%<br />

11.1%<br />

3.3%<br />

6.0%<br />

3.2%<br />

2.4%<br />

Source: Gartner Data, <strong>Berenberg</strong> estimates<br />

Photoresist processing<br />

TEL generates 34% of its revenue from photoresist processing equipment. It had a<br />

dominant, 89% share of the global photoresist processing market in 2012, followed<br />

by its closest competitor Dainippon, with a 7% share (see Figure 5).<br />

Figure 5: Photoresist processing market share<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

2010 2011 2012<br />

Source: Gartner Data<br />

TEL Dainippon SEMES Suss FSI<br />

In our opinion, the photoresist processing market is likely to underperform the<br />

total semiconductor capex over the next few years. Demand for photoresist<br />

processing equipment is associated with unit growth of lithography equipment, as<br />

each photoresist coater/developer usually supports one lithography tool. As the<br />

new generation of lithography tools becomes more efficient in terms of<br />

throughput, and demand for legacy tools declines, the total number of lithography<br />

122


Tokyo Electron Ltd<br />

Technology Hardware<br />

tools is likely to grow at a slower pace. Gartner forecasts the photoresist<br />

processing market will grow by c1.4% between 2012 and 2017, versus growth in<br />

semiconductor capex of c4.1%.<br />

In 2015-16, when extreme ultraviolet lithography (EUV) starts to ship in volume,<br />

we may potentially see a higher top-line growth rate. The photoresist used for<br />

EUV is different from conventional lithography tools and requires a different type<br />

of photoresist equipment which may carry a high ASP. However, there is little<br />

visibility on revenue upside.<br />

Etching<br />

TEL generates 27% of its revenue from etching equipment, with a 29% market<br />

share globally in 2012. Some 85% of TEL’s etching revenue comes from shipping<br />

dielectric etching equipment, with the remainder from silicon etching equipment. It<br />

holds a dominant 63% share in the dielectric etching market, and a 9% share in<br />

silicon etching (LAM leads this market with a 59% share).<br />

Etching market trends have moved against TEL over the past two years (see<br />

Figure 6) as the total addressable market (TAM) for dielectric etching has fallen by<br />

c20%, while the silicon etching TAM increased by c4% between 2010 and 2012.<br />

Figure 6: Etching market solutions<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

Source: Gartner Data<br />

2010 2011 2012<br />

Bevel Edge removal Dielectric Etch<br />

Metal Etch<br />

Other<br />

Silicon Etch (including TSV)<br />

This trend should continue over the next few years, driven by new chip<br />

manufacturing processes including double patterning (DP) and FinFET in<br />

logic/foundry, and 3D NAND in memory. From a process point of view, DP uses<br />

more silicon etching steps than single patterning (SP), as does FinFET. The<br />

memory chip manufacturing process currently requires that 55% of etching steps<br />

are carried out using silicon etching technology, and this percentage is expected to<br />

rise to 60% for 3D NAND. As a result, TEL’s TAM may shrink at an accelerated<br />

pace in the near future, unless it can offset this loss by gaining market share in<br />

silicon etching.<br />

TEL aims to increase its overall market share in etching from 29% currently to<br />

35% by 2015, as well as gain silicon etching market share. We remain sceptical<br />

about whether TEL can gain market share because the silicon etching market is<br />

crowded and competitive. LAM, Hitachi and AMAT all hold a bigger market share<br />

than TEL (Figure 7) and are currently shipping silicon etching tools to mainstream<br />

logic/foundries, while TEL is mainly supplying dielectric tools to these chipmakers.<br />

It would be hard for the latter to switch vendors due to process<br />

reconfiguration costs.<br />

123


Tokyo Electron Ltd<br />

Technology Hardware<br />

Figure 7: Silicon etching market share<br />

100.0%<br />

90.0%<br />

80.0%<br />

70.0%<br />

60.0%<br />

50.0%<br />

40.0%<br />

30.0%<br />

20.0%<br />

10.0%<br />

0.0%<br />

2010 2011 2012<br />

Lam Hitachi AMAT TEL Mattson<br />

Source: Gartner Data<br />

Deposition<br />

TEL generates 17% of its revenue from the deposition market, and had a 12%<br />

global market share in 2012. It generates 73% of its deposition revenue from LP<br />

CVD tools (with a 51% market share; Hitachi is the next biggest player – see<br />

Figure 8) and the rest from atomic layer deposition (ALD) tools.<br />

Figure 8: LP CVD market share<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

2010 2011 2012<br />

TEL LAM+novellus AMAT hitachi LAM+novellus AMAT hitachi<br />

Soucre: Gartner Data<br />

Source: Gartner data<br />

LP CVD equipment is used mainly in memory manufacturing processes and some<br />

poly silicon logic processes. In the future, we may see some LP CVD process<br />

demand being cannibalised by plasma-enhanced (PE) CVD solutions, as memory<br />

chip-makers migrate to 3D NAND. We may also see LP CVD lose logic market<br />

share as chip-makers shift to HKMG technology which uses ALD. We believe the<br />

LP CVD market will remain flattish in 2014-15, driven by memory spending offset<br />

by reduced logic adoption.<br />

The ALD market is growing quicker than the LP CVD market, as it is associated<br />

with the adoption of HKMG in mainstream logic/foundries. However, ALD<br />

revenue growth may be too small to drive TEL’s total top-line growth.<br />

124


Tokyo Electron Ltd<br />

Technology Hardware<br />

TEL unlikely to return to 2007 revenue peak, despite its bullcase<br />

scenario expectations<br />

At the Semicon 2013 event, TEL gave a more bullish outlook on its WFE<br />

spending expectations than AMAT and LAM. It expects the WFE market to reach<br />

$40bn in 2017 (which would represent c6% growth from 2012 to 2017), while<br />

AMAT and LAM expect $30bn-37bn and $30-35bn WFE spending respectively in<br />

2016 (c3.1% growth from 2012 using the mid-point of their WFE spending<br />

projection). In its bull-case scenario, it expects FY 2017 (ending March 2018)<br />

revenue to return to its FY 2007 peak level of ¥906bn, up from ¥497bn in 2012,<br />

driven by market expansion and share gains in etching and cleaning processes.<br />

We do not think that TEL will be able to return to its 2007 peak revenue level for<br />

the following reasons.<br />

1) During the last peak cycle (2009-2011), TEL’s revenue reached a peak of<br />

¥668bn, which was 26% lower than the 2007 peak level. The peak operating<br />

margin was 15% during this period (lower than the 19% achieved in 2007). We<br />

believe the lower revenue and operating margin level was due to the impact of<br />

its exposure to low-growth markets.<br />

Figure 9: TEL unlikely to return to 2007 revenue peak<br />

2007 2010 2012<br />

2017E 2017E<br />

TEL <strong>Berenberg</strong><br />

Delta %<br />

Revenue JPY bn 906.1 668.7 497.3 906.0 661.8 -27.0%<br />

SPE JPY bn 726.4 511.3 392.1 450.0<br />

FPD/PV JPY bn 68.0 66.7 20.2 100.7<br />

Components 111.1 91.3 84.7 111.1<br />

OPM % 18.6% 14.6% 2.5% 19.0% 15.4% -3.6%<br />

OP profit JPY bn 168.5 97.6 12.5 172.1 102.2 -40.6%<br />

tax rate (effective) % 18.3% 26.9% 64.1% 30.0% 30.0% 0.0%<br />

EPS JPY 594.0 401.7 34.1 672.5 399.2 -40.6%<br />

no. share mn 178.9 179.0 179.2 179.2 179.2 0.0%<br />

Source: Company data, <strong>Berenberg</strong> estimate<br />

2) TEL’s SPE revenue as a percentage of total WFE spending has been<br />

decreasing over the past five years, from 20% in 2007 to 13% in 2012,<br />

excluding the impact of FX movements (see Figure 10). We estimate that this<br />

share will fall to 12% in 2015 and 11% in 2017, as it is less likely than peers to<br />

benefit from the new chip designs.<br />

Figure 10: Share of WFE down from 20% to 12%<br />

WFE $bn<br />

TEL SPE Rev/WFE<br />

45<br />

40<br />

35<br />

30<br />

25<br />

20<br />

15<br />

10<br />

5<br />

-<br />

2004 2005 2006 2007 2008 2009 2010 2011 2012 2013E 2014E 2015E 2016E 2017E<br />

WFE $bn TEL/WFE<br />

25.0%<br />

20.0%<br />

15.0%<br />

10.0%<br />

5.0%<br />

0.0%<br />

Source: Gartner data, company data, <strong>Berenberg</strong> estimate<br />

125


Tokyo Electron Ltd<br />

Technology Hardware<br />

3) Our FY 2017 revenue estimate for TEL (see Figure 9) is ¥662bn (27% lower<br />

than the TEL bull-case scenario), comprising SPE revenue of ¥450bn (ie after<br />

applying the 11% share to TEL’s 2017 $40bn WFE estimate), FPD/PV<br />

revenue of ¥101bn (in line with 2007 peak levels, and includes solar revenue<br />

of ¥32bn), and components revenue of ¥111bn (in line with the 2007 peak).<br />

Our operating margin estimate for FY 2017 is 15% (TEL’s estimate: 19%),<br />

including a ¥30bn cost saving compared to the 2012’ ¥146bn level.<br />

4) TEL is aiming to gain share in the etching and cleaning markets, although so is<br />

AMAT (which plans to gain a 2-4% WFE share by 2016) and LAM (which is<br />

looking to gain by 3-5% in etching and by 5-10% in the cleaning market). In<br />

our opinion, with neither an established market position nor experience of<br />

these growing markets, TEL is in a weaker position than AMAT and LAM to<br />

gain share.<br />

Yen depreciation – limited impact<br />

The yen has depreciated by 30% against the US dollar since 2012. However, we<br />

believe the impact on TEL has been limited. From an operational/cost<br />

perspective, its operations are not heavily exposed to FX movements. TEL’s sales<br />

contracts are mostly denominated in yen and it hedges its foreign-currency<br />

exposures. Its main manufacturing plants are all based in Japan. Therefore the<br />

impact on its operations/cost base is limited.<br />

From a competitiveness perspective, the depreciation in the yen makes TEL’s<br />

prices more competitive compared to its US peers AMAT’s and LAM’s. However,<br />

we do not expect TEL to benefit much from this, as we do not believe chipmakers<br />

will switch vendors purely because of lower prices. From our conversations<br />

with industry specialists, chip-makers care more about equipment performance,<br />

reliability and support services than price.<br />

Even if chip-makers did switch due to lower prices, TEL’s gain could still be very<br />

limited as it only competes with US competitors in some of its end-markets and<br />

other Japan-based competitors such as Hitachi and Dainippon have the same<br />

advantage.<br />

126


Tokyo Electron Ltd<br />

Technology Hardware<br />

Key catalysts<br />

In our view, the following factors will be the main share price catalysts.<br />

• <strong>Semiconductor</strong>/FPD/solar order recovery: As with its peers, TEL trades on<br />

its order intake level. Any sign of order recovery in the semiconductor, FPD or<br />

solar industries would be positive for TEL’s share price.<br />

We believe TEL has already seen a positive order trend for semiconductors<br />

over the past two quarters, and we believe the company is likely to see similar<br />

order levels in the coming quarter as weaker foundry spending is offset by<br />

stronger memory spend. ASML, KLA and LAM have all shown early<br />

indications of a memory recovery recently and we expect TEL also to benefit.<br />

But while FPD and solar orders doubled in the last quarter (Q4 FY 2013)<br />

compared to Q3, we do not expect the orderbook to show material<br />

improvement in the near future as the solar and FPD markets remain sluggish.<br />

On a net basis, we expect a slight recovery in orders in the coming quarter,<br />

mainly driven by the semiconductor segment.<br />

• Market share gain in high-growth markets: TEL is mainly exposed to lowgrowth<br />

markets such as deposition, LP CVD and dielectric etching. Its only<br />

high-growth market is silicon etching, where growth is likely to be fuelled by<br />

20nm DP, FinFET and 3D NAND chip designs. Management is keen to<br />

increase TEL’s market share in silicon etching and we believe any market share<br />

gain will be positive for TEL’s share price.<br />

In our opinion, the silicon etching market is crowded: LAM (with a 59% share),<br />

Hitachi (16%) and AMAT (14%) all hold higher market shares than TEL (9%).<br />

LAM has strong relationships with logic and foundry players, while AMAT has<br />

strong relationships with memory players, hence we believe TEL has very<br />

limited opportunities to increase market share.<br />

127


Tokyo Electron Ltd<br />

Technology Hardware<br />

Valuation<br />

Our valuation is based on an EV/sales multiple. TEL trades at a premium P/E<br />

multiple compared to its peers, as it has a solid cash position. Its cash/market cap<br />

ratio (see Figure 11) was higher than its peers’ for the majority of 2006-2012.<br />

Hence, we use EV/sales as a valuation methodology for TEL instead of P/E to<br />

reflect its weaker growth potential compared to ASML, ASMI and AMAT.<br />

Figure 11: Stronger cash position compared to peers<br />

2006-2012<br />

Cash/marcap 2006 2007 2008 2009 2010 2011 2012 avg<br />

TEL 14.8% 21.3% 23.2% 26.9% 31.4% 27.3% 26.5% 24.5%<br />

ASML 6.1% 4.7% 4.1% 3.8% 7.2% 10.1% 6.5% 6.1%<br />

ASMI 6.4% 6.1% 4.8% 11.1% 8.7% 13.9% 8.9% 8.6%<br />

AMAT 17.9% 20.8% 19.3% 18.2% 21.7% 40.0% 16.7% 22.1%<br />

LAM 14.6% 9.3% 14.7% 8.0% 11.5% 29.4% 39.7% 18.2%<br />

KLA 25.2% 18.5% 17.1% 14.4% 16.6% 22.0% 27.4% 20.2%<br />

Source: Company data, Bloomberg data<br />

Historically, TEL has traded at 0.7x-1.2x EV/sales in a semi recovery cycle. Our<br />

price target of ¥4,331 implies a EV/sales of 0.9x, which is in the middle of this<br />

range, but lower than its better quality peers (ASML, ASMI, AMAT, KLA and<br />

LAM). We believe that the lower multiple is justified due to its lower growth<br />

potential and profitability.<br />

Figure 12: Peer group valuation<br />

Company Name PE EV/EBIT EV/Sales<br />

FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E FY 2013E FY 2014E FY2015E<br />

NIKON CORP 14.12 13.42 12.25 9.83 8.28 8.53 0.82 0.80 0.87<br />

APPLIED MATERIAL 23.30 13.18 11.92 17.43 10.77 4.87 2.35 1.92 0.91<br />

ASML HOLDING NV 25.04 15.67 12.17 21.81 10.74 2.10 4.80 3.73 0.67<br />

ASM INTL NV 27.03 14.02 13.19 50.89 10.49 13.21 3.13 1.91 1.52<br />

KLA-TENCOR CORP 16.94 13.21 11.26 9.43 7.59 2.97 2.46 2.21 0.95<br />

LAM RESEARCH 20.99 11.64 10.15 14.73 8.28 2.90 1.74 1.44 0.58<br />

ULVAC INC na 10.21 7.36 25.28 12.27 15.08 0.82 0.75 1.04<br />

DAINIPPON SCREEN 28.32 14.13 14.41 67.36 11.63 13.66 0.76 0.70 0.81<br />

Mean 19.47 13.19 11.59 27.10 10.00 7.92 2.11 1.68 0.92<br />

Median 22.14 13.32 12.04 19.62 10.61 6.70 2.04 1.68 0.89<br />

TOKYO ELECTRON 48.60 20.98 18.74 25.57 9.75 5.61 1.16 1.02 0.72<br />

Source: Bloomberg data<br />

128


Tokyo Electron Ltd<br />

Technology Hardware<br />

Key risks<br />

The key risks to our investment case are as follows.<br />

• FPD/PV segment: TEL’s FPD/PV business was loss-making in FY 2012, and<br />

management has guided that the division will continue to make a loss over the<br />

next six months. The segment’s continuing underperformance is likely to have a<br />

negative impact on total corporate earnings, and may trigger a heavy<br />

impairment charge on goodwill related to its end-2012 acquisition of Oerlikon<br />

Solar.<br />

In our opinion, the underperformance of the FPD and solar divisions is in line<br />

with the experience of AMAT. We expect the two markets to remain sluggish in<br />

the near future, until the solar supply/demand balance improves and TV<br />

manufacturers start investing in new technology or capacity. TEL has ¥22bn of<br />

goodwill related to the Oerlikon solar acquisition, which is likely to be impaired<br />

if we see continuing underperformance.<br />

• <strong>Semiconductor</strong> spending pause: TEL generates 79% of sales and 100% of<br />

profit from its SPE division. The performance of SPE is highly correlated to<br />

chip-makers’ capex spend. If chip-makers’ capex level falls as the consumer<br />

electronics market reaches saturation, or technology migration starts to<br />

decelerate, TEL’s shipments, revenue and profit margin could be hit.<br />

In our opinion, capex spending is likely to remain strong over the next few<br />

years, driven by consumer devices growth in emerging markets. We believe<br />

there will be a capex uptick driven by 450mm migration in 2016. As a result, we<br />

are not too concerned about the expected capex pause in the next couple of<br />

years.<br />

129


Tokyo Electron Ltd<br />

Technology Hardware<br />

Financials<br />

Profit and loss account<br />

TEL’s revenue includes revenue from SPE, FPD and PV equipment and EC<br />

components.<br />

TEL’s revenue model<br />

We model TEL’s revenue based on front-end order intake trends.<br />

Figure 13: TEL revenue forecast<br />

(Yen Billions) F13E (3/2014) F14E (3/2015) F15E(3/2016)<br />

FY H1E H2E F13E H1E H2E F14E H1E H2E F15E<br />

Orders<br />

Orders 210.7 254.6 465.2 232.4 219.5 451.8 232.7 240.2 472.9<br />

<strong>Semiconductor</strong> <strong>Equipment</strong> 198.7 240.6 439.2 217.4 204.5 421.8 214.7 222.2 436.9<br />

Movement YOY<br />

Orders 7% 3% 5% 10% -14% -3% 0% 9% 5%<br />

<strong>Semiconductor</strong> <strong>Equipment</strong> 39% 21% 28% 9% -15% -4% -1% 9% 4%<br />

Revenue - Total 262.5 286.7 549.2 308.5 287.1 595.5 289.9 303.2 593.1<br />

<strong>Semiconductor</strong> <strong>Equipment</strong> 200.0 220.6 420.6 233.7 210.7 444.5 206.9 219.2 426.1<br />

FPD <strong>Equipment</strong> 12.0 13.6 25.6 14.1 15.7 29.8 16.0 18.0 34.0<br />

PV Solar 8.0 10.0 18.0 16.0 16.0 32.0 20.0 20.0 40.0<br />

Electronic Components 42.5 42.5 85.0 44.6 44.6 89.3 47.0 46.0 93.0<br />

Movement YOY<br />

Revenue - Total -2% 24% 10% 18% 0% 8% -6% 6% 0%<br />

<strong>Semiconductor</strong> <strong>Equipment</strong> -7% 24% 7% 17% -4% 6% -11% 4% -4%<br />

FPD <strong>Equipment</strong> 30% 24% 27% 17% 15% 16% 13% 15% 14%<br />

PV Solar - - - 100% 60% 78% 25% 25% 25%<br />

Electronic Components 0% 1% 0% 5% 5% 5% 5% 3% 4%<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

We expect TEL’s equipment order intake to slightly increase in FY 2013 (ending<br />

March 2014), with a recovery in memory spend likely to drive its deposition<br />

segment, and with the mainstream logic/foundry segment starting to ramp up<br />

20nm/16nm FinFET mass production capacity. Order intake for FY 2014 (ending<br />

March 2015) will fall slightly as TEL is mainly exposed to low-growth and declining<br />

markets.<br />

We remain cautious about order intake for FPD/PV equipment and expect orders<br />

to increase at a moderate level.<br />

We estimate TEL’s underlying revenue will reach ¥549bn in FY 2013, which<br />

includes a ¥420bn contribution from the SPE segment, growing to ¥444bn in FY<br />

2014.<br />

Revenues and orders by customer/region<br />

TEL supplies equipment to the leading chip-makers in the logic, foundry and<br />

memory markets. The majority of its orders in FY 2013 came from foundry<br />

customers, in line with total capex spending trends, as foundry customers are the<br />

main buyers.<br />

130


Tokyo Electron Ltd<br />

Technology Hardware<br />

Figure 14: TEL order breakdown by customer type<br />

100%<br />

90%<br />

80%<br />

70%<br />

60%<br />

50%<br />

40%<br />

30%<br />

20%<br />

10%<br />

0%<br />

FY 2010 FY 2011 FY 2012 FY 2013<br />

MPU, System LSI, Others Foundry NAND DRAM<br />

Source: Company data<br />

Profit margin<br />

We expect TEL’s operating margin to increase from 3.2% in FY 2013 to 8.9% in<br />

FY 2014, and 8.8% in FY 2015, due to factory loading improvement following<br />

semiconductor order recovery.<br />

Balance sheet and cash flow<br />

TEL has a strong balance sheet, with ¥240bn net cash, and zero debt at the end of<br />

FY 2013. We expect TEL will maintain its healthy balance sheet for the near future<br />

and have ¥321bn of net cash at FY 2015.<br />

We forecast TEL to generate ¥19bn free cash flow in FY 2013, ¥38bn in FY 2014<br />

and ¥60bn in FY 2015.<br />

131


Tokyo Electron Ltd<br />

Technology Hardware<br />

Company background<br />

Founded in 1963, TEL is a world-leading supplier of SPE, FPD, PV and EC<br />

equipment.<br />

It supplies a broad range of SPE which are used in different chip manufacturing<br />

processes, and generates the majority of its revenue from shipping SPE. Its<br />

customers include major foundry, logic and memory chip-makers and IDMs.<br />

Figure 15: TEL product portfolio<br />

TEL Product portfolio:<br />

<strong>Semiconductor</strong> Production <strong>Equipment</strong>: Flat panel display equipment:<br />

Coater/Developer - photoresist processing FPD Coater/developers<br />

Clean track Lithius Pro V/Lithius pro V-i CS Series<br />

Clean track Lithius Pro/Lithius pro i Exceliner<br />

Clean track Lithius/lithius i+<br />

Clean track act 12/Clean track Act 8 FPD etch/ash systems<br />

Clean track Act 12 SOD/clean track ACT 8 SME Series<br />

Clean Track act M<br />

HT Series<br />

SE eries<br />

Wafer deposition:<br />

Impressio<br />

Triase SPAi<br />

Triase Ti/TiN<br />

Triase W<br />

Triase High K CVD<br />

Etching tools:<br />

Telius SP<br />

UNITY Me<br />

Tactras<br />

Wafer bonding/debonding<br />

Synapse V/Spnapse Z<br />

Synapse S<br />

Surface preparation tools:<br />

Auto wet station Expedius +<br />

Auto wet station Expedius -i<br />

Gas Chemical Etch system Certas WING<br />

Single Wafer cleaning system - Cellesta+<br />

Single Wafer cleaning system - Cellesta-i<br />

Scrubber system - NS 300+HT<br />

Scrubber system - NS 300+<br />

Source: Company data<br />

Its EC division acts as a distributor of a wide range of sophisticated electronic<br />

components and computer network equipment.<br />

132


Tokyo Electron Ltd<br />

Technology Hardware<br />

TEL’s shareholder structure<br />

TEL has approximately 180m outstanding ordinary shares listed on the Tokyo<br />

Stock Exchange, with a 94% free float. The shareholder structure at the 2012<br />

financial year-end (March 2013) is shown below.<br />

Figure 16: Holding structure at end-FY 2012<br />

The Master Trust <strong>Bank</strong> of<br />

Japan, Ltd. (trust account),<br />

11%<br />

Other, 81%<br />

Japan Trustee Services<br />

<strong>Bank</strong>, Ltd. (trust account),<br />

8%<br />

Source: Company data<br />

Management<br />

Chairman, president and CEO Tetsuro Higashi<br />

Mr Higashi joined TEL in 1996, and took over the role of president and CEO in<br />

Apr 2013, after former CEO Hiroshi Takenake resigned for health reasons.<br />

Executive vice president Hikaru Ito<br />

Mr Ito was appointed executive vice president of the SPE sales division and general<br />

manager in 2003.<br />

133


Tokyo Electron Ltd<br />

Technology Hardware<br />

Profit and loss account<br />

Year-end March (¥ bn) 2011 2012 2013E 2014E 2015E<br />

Sales 633.1 497.3 549.2 595.5 593.1<br />

Cost of sales 421.6 338.5 375.2 397.0 405.9<br />

Gross profit 211.4 158.7 174.0 198.5 187.1<br />

Administrative and selling expenses 69.5 73.0 83.6 71.5 60.0<br />

General and administration - - - - -<br />

Research and development 81.5 73.2 73.0 74.0 75.0<br />

Impairment charges - - - - -<br />

EBIT 60.4 12.5 17.4 53.0 52.1<br />

Interest income 4.1 6.1 6.1 6.1 6.1<br />

Interest expenses -0.5 -2.0 -2.0 -2.0 -2.0<br />

Income on ordinary activities before taxes 64.0 16.7 21.5 57.2 56.3<br />

Extraordinary income/loss -3.4 1.1 0.0 0.0 0.0<br />

EBT 60.6 17.8 21.8 57.5 56.6<br />

Taxes 23.4 11.4 6.5 17.2 17.0<br />

Net income from continuing operations 37.2 6.4 15.0 40.0 39.3<br />

Income from discontinued operations (net of tax) - - - - -<br />

Net income 37.2 6.4 15.0 40.0 39.3<br />

Minority interest 0.5 0.3 0.3 0.8 0.8<br />

Net income (net of minority interest) 36.7 6.1 14.7 39.2 38.5<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

134


Tokyo Electron Ltd<br />

Technology Hardware<br />

Balance sheet<br />

Year-end March (¥ bn) 2011 2012 2013E 2014E 2015E<br />

Intangible assets 4.7 59.9 56.1 52.3 48.5<br />

Property, plant and equipment 126.9 135.7 122.7 109.2 95.7<br />

Financial assets 45.0 58.4 58.4 58.4 58.4<br />

Fixed Assets 176.6 254.0 237.2 219.9 202.6<br />

Liquid assets 211.8 190.5 190.5 190.5 190.5<br />

Financial investments 35.4 49.6 59.7 84.1 130.8<br />

Inventories 149.5 135.7 150.5 163.2 162.5<br />

Accounts receivable 150.3 100.5 111.3 120.7 120.2<br />

Other current assets 60.1 45.2 44.2 48.0 47.8<br />

Other accruals - - - - -<br />

Current Assets 607.1 521.5 556.3 606.4 651.8<br />

TOTAL 783.6 775.5 793.5 826.3 854.4<br />

Shareholders' equity 587.9 594.4 600.2 625.7 650.8<br />

Minority interest 10.7 10.7 10.7 10.7 10.7<br />

Bonds (Long term) 0.0 0.0 0.0 0.0 0.0<br />

Long-term debt 0.0 0.0 0.0 0.0 0.0<br />

Pensions provisions 54.6 56.6 56.6 56.6 56.6<br />

Other liabilities 5.6 7.1 7.9 8.3 8.5<br />

Non-current liabilities 587.9 594.4 600.2 625.7 650.8<br />

Short-term debt 0.0 0.0 0.0 0.0 0.0<br />

Accounts payable 47.0 36.3 40.1 42.4 43.4<br />

Other current liabilities 0.0 0.0 0.0 0.0 0.0<br />

Other liabilities 77.8 70.4 78.0 82.6 84.4<br />

Current liabilities 124.8 106.7 118.1 125.0 127.8<br />

TOTAL 783.6 775.5 793.5 826.3 854.4<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

135


Tokyo Electron Ltd<br />

Technology Hardware<br />

Cash flow statement<br />

¥ bn 2011 2012 2013E 2014E 2015E<br />

Net profit/loss 36.7 6.1 14.7 39.2 38.5<br />

Depreciation of fixed assets 24.2 26.6 30.0 30.5 30.5<br />

Amortisation of intangible assets 0.0 0.0 3.8 3.8 3.8<br />

Other 8.8 -12.4 0.0 0.0 0.0<br />

Change in inventory 19.5 13.8 -14.8 -12.7 0.7<br />

Change in accounts receivable -13.9 49.8 -10.8 -9.4 0.5<br />

Change in Current assets -6.2 14.9 0.9 -3.7 0.2<br />

Change in accounts payable -6.6 -10.7 3.8 2.3 1.0<br />

Change in current liabilities -36.6 -7.4 7.6 4.5 1.9<br />

Change in other liabilities 3.8 3.5 0.8 0.5 0.2<br />

Non Controlling interest change 0.1 0.0 0.0 0.0 0.0<br />

Cash flow from operating activities 29.7 84.3 36.0 55.0 77.2<br />

Capex -39.5 -21.7 -17.0 -17.0 -17.0<br />

Income from asset disposals 1.1 3.6 0.0 0.0 0.0<br />

Financial investments 3.2 -13.4 0.0 0.0 0.0<br />

Investment in Intangible assets -0.5 -1.2 0.0 0.0 0.0<br />

Payments for acquisitions 0.0 -55.1 0.0 0.0 0.0<br />

Other cash flow from investing activities 27.3 -109.0 0.0 0.0 0.0<br />

Cash flow from investing activities -8.4 -141.8 -17.0 -17.0 -17.0<br />

Long Term debt issuance 0.0 0.0 0.0 0.0 0.0<br />

Short Term debt issuance 0.0 0.0 0.0 0.0 0.0<br />

Purchase of own shares 0.7 0.2 0.0 0.0 0.0<br />

Dividends paid -23.1 -9.3 -9.0 -13.6 -13.4<br />

Other financing cash flow -4.9 -1.5 0.0 0.0 0.0<br />

Cash flow from financing activities -27.3 -10.6 -9.0 -13.6 -13.4<br />

Effects of exchange rate changes on cash -0.3 -5.3 0.0 0.0 0.0<br />

Increase/decrease in liquid assets -6.3 -73.5 10.1 24.4 46.7<br />

Liquid assets at end of period 158.7 85.3 95.3 119.7 166.4<br />

Source: Company data, <strong>Berenberg</strong> estimates<br />

136


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Please note that the use of this research report is subject to the conditions and restrictions set forth in the<br />

“General investment-related disclosures” and the “Legal disclaimer” at the end of this document.<br />

For analyst certification and remarks regarding foreign investors and country-specific disclosures, please<br />

refer to the respective paragraph at the end of this document.<br />

Disclosures in respect of section 34b of the German Securities Trading Act<br />

(Wertpapierhandelsgesetz – WpHG)<br />

Company<br />

Applied Materials Inc<br />

ASM International NV<br />

ASML Holding NV<br />

Suess Microtec AG<br />

Tokyo Electron Ltd<br />

Disclosures<br />

no disclosures<br />

no disclosures<br />

no disclosures<br />

no disclosures<br />

no disclosures<br />

(1) Joh. <strong>Berenberg</strong>, Gossler & Co. KG (hereinafter referred to as “the <strong>Bank</strong>”) and/or its affiliate(s) was Lead<br />

Manager or Co-Lead Manager over the previous 12 months of a public offering of this company.<br />

(2) The <strong>Bank</strong> acts as Designated Sponsor for this company.<br />

(3) Over the previous 12 months, the <strong>Bank</strong> and/or its affiliate(s) has effected an agreement with this company<br />

for investment banking services or received compensation or a promise to pay from this company for<br />

investment banking services.<br />

(4) The <strong>Bank</strong> and/or its affiliate(s) holds 5% or more of the share capital of this company.<br />

(5) The <strong>Bank</strong> holds a trading position in shares of this company.<br />

Historical price target and rating changes for Applied Materials Inc in the last 12 months (full coverage)<br />

Date Price target - USD Rating Initiation of coverage<br />

22 July 13 14.40 Hold 22 July 13<br />

Historical price target and rating changes for ASM International NV in the last 12 months (full coverage)<br />

Date Price target - EUR Rating Initiation of coverage<br />

22 July 13 31.00 Buy 22 July 13<br />

Historical price target and rating changes for ASML Holding NV in the last 12 months (full coverage)<br />

Date Price target - EUR Rating Initiation of coverage<br />

22 July 13 73.00 Hold 22 July 13<br />

Historical price target and rating changes for Suess Microtec AG in the last 12 months (full coverage)<br />

Date Price target - EUR Rating Initiation of coverage<br />

22 July 13 9.40 Buy 22 July 13<br />

Historical price target and rating changes for Tokyo Electron Ltd in the last 12 months (full coverage)<br />

Date Price target - JPY Rating Initiation of coverage<br />

22 July 13 4331.00 Sell 22 July 13<br />

<strong>Berenberg</strong> distribution of ratings and in proportion to investment banking services<br />

Buy 41.83 % 51.52 %<br />

Sell 19.20 % 9.09 %<br />

Hold 38.97 % 39.39 %<br />

Valuation basis/rating key<br />

137


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

The recommendations for companies analysed by the <strong>Bank</strong>’s equity research department are either made on an<br />

absolute basis (“absolute rating system”) or relative to the sector (“relative rating system“), which is clearly stated in<br />

the financial analysis. For both absolute and relative rating system, the three-step rating key “Buy”, “Hold” and “Sell”<br />

is applied. For a detailed explanation of our rating system, please refer to our website at<br />

http://www.berenberg.de/research.html?&L=1<br />

NB: During periods of high market, sector or stock volatility, or in special situations, the rating system criteria as<br />

described on our website may be breached temporarily.<br />

Competent supervisory authority<br />

Bundesanstalt für Finanzdienstleistungsaufsicht -BaFin- (Federal Financial Supervisory Authority),<br />

Graurheindorfer Straße 108, 53117 Bonn and Marie-Curie-Str. 24-28, 60439 Frankfurt am Main, Germany.<br />

General investment-related disclosures<br />

Joh. <strong>Berenberg</strong>, Gossler & Co. KG (hereinafter referred to as „the <strong>Bank</strong>“) has made every effort to carefully research<br />

all information contained in this financial analysis. The information on which the financial analysis is based has been<br />

obtained from sources which we believe to be reliable such as, for example, Thomson Reuters, Bloomberg and the<br />

relevant specialised press as well as the company which is the subject of this financial analysis.<br />

Only that part of the research note is made available to the issuer (who is the subject of this analysis) which is<br />

necessary to properly reconcile with the facts. Should this result in considerable changes a reference is made in the<br />

research note.<br />

Opinions expressed in this financial analysis are our current opinions as of the issuing date indicated on this<br />

document. The companies analysed by the <strong>Bank</strong> are divided into two groups: those under “full coverage” (regular<br />

updates provided); and those under “screening coverage” (updates provided as and when required at irregular<br />

intervals).<br />

The functional job title of the person/s responsible for the recommendations contained in this report is “Equity<br />

Research Analyst” unless otherwise stated on the cover.<br />

The following internet link provides further remarks on our financial analyses:<br />

http://www.berenberg.de/research.html?&L=1&no_cache=1<br />

Legal disclaimer<br />

This document has been prepared by Joh. <strong>Berenberg</strong>, Gossler & Co. KG (hereinafter referred to as „the <strong>Bank</strong>“). This<br />

document does not claim completeness regarding all the information on the stocks, stock markets or developments<br />

referred to in it.<br />

On no account should the document be regarded as a substitute for the recipient procuring information for<br />

himself/herself or exercising his/her own judgements.<br />

The document has been produced for information purposes for institutional clients or market professionals.<br />

Private customers, into whose possession this document comes, should discuss possible investment decisions with<br />

their customer service officer as differing views and opinions may exist with regard to the stocks referred to in this<br />

document.<br />

This document is not a solicitation or an offer to buy or sell the mentioned stock.<br />

The document may include certain descriptions, statements, estimates, and conclusions underlining potential market<br />

and company development. These reflect assumptions, which may turn out to be incorrect. The <strong>Bank</strong> and/or its<br />

employees accept no liability whatsoever for any direct or consequential loss or damages of any kind arising out of the<br />

use of this document or any part of its content.<br />

The <strong>Bank</strong> and/or its employees may hold, buy or sell positions in any securities mentioned in this document,<br />

derivatives thereon or related financial products. The <strong>Bank</strong> and/or its employees may underwrite issues for any<br />

securities mentioned in this document, derivatives thereon or related financial products or seek to perform capital<br />

market or underwriting services.<br />

138


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Analyst certification<br />

I, Tammy Qiu, hereby certify that all of the views expressed in this report accurately reflect my personal views<br />

about any and all of the subject securities or issuers discussed herein.<br />

In addition, I hereby certify that no part of my compensation was, is, or will be, directly or indirectly related to the<br />

specific recommendations or views expressed in this research report, nor is it tied to any specific investment<br />

banking transaction performed by the <strong>Bank</strong> or its affiliates.<br />

Remarks regarding foreign investors<br />

The preparation of this document is subject to regulation by German law. The distribution of this document in other<br />

jurisdictions may be restricted by law, and persons into whose possession this document comes should inform<br />

themselves about, and observe, any such restrictions.<br />

United Kingdom<br />

This document is meant exclusively for institutional investors and market professionals, but not for private customers.<br />

It is not for distribution to or the use of private investors or private customers.<br />

United States of America<br />

This document has been prepared exclusively by the <strong>Bank</strong>. Although <strong>Berenberg</strong> Capital Markets LLC, an affiliate of<br />

the <strong>Bank</strong> and registered US broker-dealer, distributes this document to certain customers, <strong>Berenberg</strong> Capital Markets<br />

LLC does not provide input into its contents, nor does this document constitute research of <strong>Berenberg</strong> Capital<br />

Markets LLC. In addition, this document is meant exclusively for institutional investors and market professionals, but<br />

not for private customers. It is not for distribution to or the use of private investors or private customers.<br />

This document is classified as objective for the purposes of FINRA rules. Please contact <strong>Berenberg</strong> Capital Markets<br />

LLC (+1 617.292.8200), if you require additional information.<br />

Third-party research disclosures<br />

Company<br />

Applied Materials Inc<br />

ASM International NV<br />

ASML Holding NV<br />

Suess Microtec AG<br />

Tokyo Electron Ltd<br />

Disclosures<br />

no disclosures<br />

no disclosures<br />

no disclosures<br />

no disclosures<br />

no disclosures<br />

(1) <strong>Berenberg</strong> Capital Markets LLC owned 1% or more of the outstanding shares of any class of the subject<br />

company by the end of the prior month.*<br />

(2) Over the previous 12 months, <strong>Berenberg</strong> Capital Markets LLC has managed or co-managed any public<br />

offering for the subject company.*<br />

(3) <strong>Berenberg</strong> Capital Markets LLC is making a market in the subject securities at the time of the report.<br />

(4) <strong>Berenberg</strong> Capital Markets LLC received compensation for investment banking services in the past 12 months,<br />

or expects to receive such compensation in the next 3 months.*<br />

(5) There is another potential conflict of interest of the analyst or <strong>Berenberg</strong> Capital Markets LLC, of which the<br />

analyst knows or has reason to know at the time of publication of this research report.<br />

* For disclosures regarding affiliates of <strong>Berenberg</strong> Capital Markets LLC please refer to the ‘Disclosures in respect of<br />

section 34b of the German Securities Trading Act (Wertpapierhandelsgesetz – WpHG)’ section above.<br />

Copyright<br />

The <strong>Bank</strong> reserves all the rights in this document. No part of the document or its content may be rewritten, copied,<br />

photocopied or duplicated in any form by any means or redistributed without the <strong>Bank</strong>’s prior written consent.<br />

© May 2013 Joh. <strong>Berenberg</strong>, Gossler & Co. KG<br />

139


<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Contacts: Investment <strong>Bank</strong>ing<br />

Equity Research<br />

E-mail: firstname.lastname@berenberg.com; Internet www.berenberg.de<br />

BANKS ECONOMICS MID-CAP GENERAL<br />

Nick Anderson +44 (0) 20 3207 7838 Dr. Holger Schmieding +44 (0) 20 3207 7889 Gunnar Cohrs +44 (0) 20 3207 7894<br />

James Chappell +44 (0) 20 3207 7844 Dr. Christian Schulz +44 (0) 20 3207 7878 Bjoern Lippe +44 (0) 20 3207 7845<br />

Andrew Lowe +44 (0) 20 3465 2743 Robert Wood +44 (0) 20 3207 7822 Anna Patrice +44 (0) 20 3207 7863<br />

Eoin Mullany +44 (0) 20 3207 7854 Stanislaus von Thurn und Taxis +44 (0) 20 3465 2631<br />

Eleni Papoula +44 (0) 20 3465 2741 FOOD MANUFACTURING<br />

Michelle Wilson +44 (0) 20 3465 2663 Fintan Ryan +44 (0) 20 3465 2748 OIL & GAS<br />

Andrew Steele +44 (0) 20 3207 7926 Asad Farid +44 (0) 20 3207 7932<br />

BEVERAGES James Targett +44 (0) 20 3207 7873 Jaideep Pandya +44 (0) 20 3207 7890<br />

Philip Morrisey +44 (0) 20 3207 7892<br />

Josh Puddle +44 (0) 20 3207 7881 GENERAL RETAIL & LUXURY GOODS REAL ESTATE<br />

Bassel Choughari +44 (0) 20 3465 2675 Kai Klose +44 (0) 20 3207 7888<br />

BUSINESS SERVICES John Guy +44 (0) 20 3465 2674 Estelle Weingrod +44 (0) 20 3207 7931<br />

William Foggon +44 (0) 20 3207 7882<br />

Simon Mezzanotte +44 (0) 20 3207 7917 HEALTHCARE TECHNOLOGY<br />

Arash Roshan Zamir +44 (0) 20 3465 2636 Scott Bardo +44 (0) 20 3207 7869 Adnaan Ahmad +44 (0) 20 3207 7851<br />

Konrad Zomer +44 (0) 20 3207 7920 Alistair Campbell +44 (0) 20 3207 7876 Sebastian Grabert +44 (0) 20 3207 7834<br />

Charles Cooper +44 (0) 20 3465 2637 Daud Khan +44 (0) 20 3465 2638<br />

CAPITAL GOODS Louise Hinds +44 (0) 20 3465 2747 Ali Khwaja +44 (0) 20 3207 7852<br />

Frederik Bitter +44 (0) 20 3207 7916 Tom Jones +44 (0) 20 3207 7877 Tammy Qiu +44 (0) 20 3465 2673<br />

Benjamin Glaeser +44 (0) 20 3207 7918<br />

William Mackie +44 (0) 20 3207 7837 HOUSEHOLD & PERSONAL CARE TELECOMMUNICATIONS<br />

Margaret Paxton +44 (0) 20 3207 7934 Jade Barkett +44 (0) 20 3207 7895 Wassil El Hebil +44 (0) 20 3207 7862<br />

Alexander Virgo +44 (0) 20 3207 7856 Seth Peterson +44 (0) 20 3207 7891 Usman Ghazi +44 (0) 20 3207 7824<br />

Felix Wienen +44 (0) 20 3207 7915 Stuart Gordon +44 (0) 20 3207 7858<br />

INSURANCE Laura Janssens +44 (0) 20 3465 2639<br />

CHEMICALS Tom Carstairs +44 (0) 20 3207 7823 Paul Marsch +44 (0) 20 3207 7857<br />

John Philipp Klein +44 (0) 20 3207 7930 Peter Eliot +44 (0) 20 3207 7880 Barry Zeitoune +44 (0) 20 3207 7859<br />

Evgenia Molotova +44 (0) 20 3465 2664 Kai Mueller +44 (0) 20 3465 2681<br />

Jaideep Pandya +44 (0) 20 3207 7890 Matthew Preston +44 (0) 20 3207 7913 TOBACCO<br />

Sami Taipalus +44 (0) 20 3207 7866 Erik Bloomquist +44 (0) 20 3207 7870<br />

CONSTRUCTION Kate Kalashnikova +44 (0) 20 3465 2665<br />

Chris Moore +44 (0) 20 3465 2737 MEDIA<br />

Robert Muir +44 (0) 20 3207 7860 Robert Berg +44 (0) 20 3465 2680 UTILITIES<br />

Michael Watts +44 (0) 20 3207 7928 Emma Coulby +44 (0) 20 3207 7821 Robert Chantry +44 (0) 20 3207 7861<br />

Laura Janssens +44 (0) 20 3465 2639 Andrew Fisher +44 (0) 20 3207 7937<br />

DIVERSIFIED FINANCIALS Sarah Simon +44 (0) 20 3207 7830 Oliver Salvesen +44 (0) 20 3207 7818<br />

Pras Jeyanandhan +44 (0) 20 3207 7899 Lawson Steele +44 (0) 20 3207 7887<br />

Sales<br />

E-mail: firstname.lastname@berenberg.com; Internet www.berenberg.de<br />

Specialist Sales Sales Sales Trading<br />

BANKS LONDON HAMBURG<br />

Iro Papadopoulou +44 (0) 20 3207 7924 John von <strong>Berenberg</strong>-Consbruch +44 (0) 20 3207 7805 Paul Dontenwill +49 (0) 40 350 60 563<br />

Matt Chawner +44 (0) 20 3207 7847 Alexander Heinz +49 (0) 40 350 60 359<br />

CONSUMER Toby Flaux +44 (0) 20 3465 2745 Gregor Labahn +49 (0) 40 350 60 571<br />

Rupert Trotter +44 (0) 20 3207 7815 Karl Hancock +44 (0) 20 3207 7803 Chris McKeand +49 (0) 40 350 60 798<br />

Sean Heath +44 (0) 20 3465 2742 Fin Schaffer +49 (0) 40 350 60 596<br />

INSURANCE David Hogg +44 (0) 20 3465 2628 Lars Schwartau +49 (0) 40 350 60 450<br />

Trevor Moss +44 (0) 20 3207 7893 Zubin Hubner +44 (0) 20 3207 7885 Marvin Schweden +49 (0) 40 350 60 576<br />

Ben Hutton +44 (0) 20 3207 7804 Tim Storm +49 (0) 40 350 60 415<br />

HEALTHCARE James Matthews +44 (0) 20 3207 7807 Philipp Wiechmann +49 (0) 40 350 60 346<br />

Frazer Hall +44 (0) 20 3207 7875 David Mortlock +44 (0) 20 3207 7850<br />

Peter Nichols +44 (0) 20 3207 7810 LONDON<br />

INDUSTRIALS Richard Payman +44 (0) 20 3207 7825 Mike Berry +44 (0) 20 3465 2755<br />

Chris Armstrong +44 (0) 20 3207 7809 George Smibert +44 (0) 20 3207 7911 Stewart Cook +44 (0) 20 3465 2752<br />

Kaj Alftan +44 (0) 20 3207 7879 Anita Surana +44 (0) 20 3207 7855 Simon Messman +44 (0) 20 3465 2754<br />

Paul Walker +44 (0) 20 3465 2632 Stephen O'Donohoe +44 (0) 20 3465 2753<br />

MEDIA<br />

Julia Thannheiser +44 (0) 20 3465 2676 PARIS PARIS<br />

Miel Bakker (London) +44 (0) 20 3207 7808 Sylvain Granjoux +33 (0) 1 5844 9509<br />

TECHNOLOGY Dalila Farigoule +33 (0) 1 5844 9510<br />

Jean Beaubois +44 (0) 20 3207 7835 Clémence La Clavière-Peyraud +33 (0) 1 5844 9521 SOVEREIGN WEALTH FUNDS<br />

Olivier Thibert +33 (0) 1 5844 9512 Max von Doetinchem +44 (0) 20 3207 7826<br />

TELECOMMUNICATIONS<br />

Julia Thannheiser +44 (0) 20 3465 2676 ZURICH CORPORATE ACCESS<br />

Stephan Hofer +41 (0) 44 283 2029 Patricia Nehring +44 (0) 20 3207 7811<br />

UTILITIES Carsten Kinder +41 (0) 44 283 2024<br />

Benita Barretto +44 (0) 20 3207 7829 Gianni Lavigna +41 (0) 44 283 2038 EVENTS<br />

Benjamin Stillfried +41 (0) 44 283 2033 Natalie Meech +44 (0) 20 3207 7831<br />

Sales Charlotte Kilby +44 (0) 20 3207 7832<br />

FRANKFURT BENELUX Charlotte Reeves +44 (0) 20 3465 2671<br />

Michael Brauburger +49 (0) 69 91 30 90 741 Miel Bakker (London) +44 (0) 20 3207 7808 Sarah Weyman +44 (0) 20 3207 7801<br />

Nina Buechs +49 (0) 69 91 30 90 735 Susette Mantzel (Hamburg) +49 (0) 40 350 60 694 Hannah Whitehead +44 (0) 20 3207 7922<br />

André Grosskurth +49 (0) 69 91 30 90 734 Alexander Wace (London) +44 (0) 20 3465 2670<br />

Boris Koegel +49 (0) 69 91 30 90 740 CRM<br />

Joerg Wenzel +49 (0) 69 91 30 90 743 SCANDINAVIA Greg Swallow +44 (0) 20 3207 7833<br />

Ronald Bernette (London) +44 (0) 20 3207 7828 Laura Cooper +44 (0) 20 3207 7806<br />

Marco Weiss (Hamburg) +49 (0) 40 350 60 719<br />

US Sales<br />

E-mail: firstname.lastname@berenberg-us.com<br />

BERENBERG CAPITAL MARKETS LLC<br />

Member FINRA & SIPC<br />

Andrew Holder +1 (617) 292 8222 Julie Doherty +1 (617) 292 8228 Jonathan Paterson +1 (646) 445 7212<br />

Colin Andrade +1 (617) 292 8230 Kelleigh Faldi +1 (617) 292 8288 Jonathan Saxon +1 (646) 445 7202<br />

Cathal Carroll +1 (646) 445 7206 Emily Mouret +1 (646) 445 7204<br />

Burr Clark +1 (617) 292 8282 Kieran O'Sullivan +1 (617) 292 8292<br />

140

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!