13.01.2014 Views

Semiconductor Equipment - Berenberg Bank

Semiconductor Equipment - Berenberg Bank

Semiconductor Equipment - Berenberg Bank

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Semiconductor</strong> <strong>Equipment</strong><br />

Technology Hardware<br />

Shrinkage brings growth, but not for everyone<br />

● We believe that the semiconductor equipment industry has entered a<br />

recovery cycle from beginning of 2013, after declining by 16% in 2012<br />

due to weak memory spending. ASML, Applied Materials (AMAT),<br />

Tokyo Electron (TEL), ASM International (ASMI) and KLA Tencor<br />

Corp (KLA) are seeing or guiding for order recovery compared with<br />

the 2012 trough level. We expect this order recovery trend to continue<br />

as 1) foundry/logic orders are likely to remain strong, driven by a<br />

28nm capacity expansion and a 20nm/16nm FinFET ramp-up, and 2)<br />

memory orders are expected to pick up from the 2012 level after a<br />

better supply/demand balance since the start of 2013, driven by the<br />

ramp-up of NAND 20nm and smaller nodes, DRAM 30nm and<br />

smaller nodes and 3D NAND.<br />

● Key debates: 1) Will the semiconductor cycles be as cyclical in the<br />

future as previously? 2) Where are we in the cycle now? 3) What will<br />

the impact be of Apple switching/buying into its foundry partners?<br />

1) We believe the semiconductor cycle will remain cyclical, but less<br />

volatile compared with historically, as a) memory capex, which<br />

caused fluctuations previously, is likely to be less volatile as<br />

memory-makers have become more conservative in their<br />

spending, b) logic/foundry capex is likely to remain strong, as<br />

competition intensifies in the consumer devices market.<br />

2) As the industry entered an order recovery cycle at the beginning<br />

of 2013, we expect that we will exit the recovery phase by end-<br />

2013/early 2014, and enter a more muted growth period, as a)<br />

Intel and Samsung’s capex is likely to be spent in H2, which will<br />

drive order growth in 2013 H2, b) foundry/logic capex is likely to<br />

remain at the current level over the next three years until 2016<br />

(TSMC indicated that its 2014 capex will be similar to that in<br />

2013), c) memory capex has recovered since mid-2013. We believe<br />

that memory capex growth in the next three years will not drive<br />

any significant total capex growth, as it will only account for 29%<br />

of total capex, versus 33-57% of total capex in the peak years.<br />

3) We believe that Apple’s foundry switch is likely to provide small<br />

positive upside for some equipment vendors, depending on their<br />

exposure to the various foundry/logic players. The foundry order<br />

switch is likely to create new capacity addition demand, which<br />

could give a short-term boost to overall capex.<br />

● The growth potential of different equipment vendors differs, as they<br />

are each exposed to different fabrication processes. We rank the<br />

vendors’ growth potential (between 2012 to 2017) as follows:<br />

1) ASML – 100% exposure to the lithography market, which is<br />

growing at a CAGR of 18%, driven by demand for ever smaller<br />

chips;<br />

2) ASMI – 60% exposure to the atomic layer deposition (ALD)<br />

market, which is growing at a CAGR of 15%;<br />

3) KLA – 100% exposure to process control market (growing at a<br />

CAGR of 6%), although AMAT may gain market share from it;<br />

4) SUSS – 30% exposure to the micro-electro-mechanical systems<br />

(MEMS) market, which is growing at a CAGR of 12%;<br />

5) LAM – 50% exposure to the silicon etching market (growing at<br />

CAGR 4%);<br />

6) AMAT – a diversified portfolio, but with 61% market exposure to<br />

growth markets and 39% to legacy markets; benefiting from the<br />

capex growth trend;<br />

7) TEL – 60% exposure to legacy markets.<br />

ASML Holding<br />

Hold (initiation)<br />

Current price Price target<br />

EUR67.68 EUR73.00<br />

19/07/2013 Amsterdam Close<br />

ASM International<br />

Buy (initiation)<br />

Current price Price target<br />

EUR27.49 EUR31.00<br />

19/07/2013 Amsterdam Close<br />

Suess Microtec<br />

Buy (initiation)<br />

Current price<br />

EUR7.65<br />

Price target<br />

EUR9.40<br />

19/07/2013 XETRA Close<br />

Applied Materials<br />

Hold (initiation)<br />

Current price<br />

USD16.56<br />

Price target<br />

USD14.40<br />

18/07/2013 New York Close<br />

Tokyo Electron<br />

Sell (initiation)<br />

Current price<br />

JPY4,790<br />

Price target<br />

JPY4,331<br />

19/07/2013 Tokyo Close<br />

Rating system: Absolute<br />

22 July 2013<br />

Tammy Qiu<br />

Analyst<br />

+44 20 3465 2673<br />

tammy.qiu@berenberg.com<br />

Jean Beaubois<br />

Specialist Sales<br />

+44 20 3207 7835<br />

jean.beaubois@berenberg.com<br />

4

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!