13.01.2014 Views

Semiconductor Equipment - Berenberg Bank

Semiconductor Equipment - Berenberg Bank

Semiconductor Equipment - Berenberg Bank

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

ASML Holding NV<br />

Technology Hardware<br />

technology or materials, could hamper further share price performance.<br />

AMAT said at the US Semicon West 2013 conference in July that<br />

semiconductor companies are currently focusing more on new materials than<br />

they are on lithography scaling, ie EUV should have a minimal impact on the<br />

industry. AMAT also quoted that a fabless company suggested that 90% of its<br />

performance improvement came from materials, and only 10% from<br />

lithography. In addition, the consumer electronics market is currently driven<br />

by demand for mid- to low-end products, which do not require the most<br />

advanced chips. The demand for leading-edge chips could, therefore, decline if<br />

demand for mid- to low-end products rises.<br />

5. Valuation: Our price target of €73.00 implies a 12x P/E based on EPS<br />

estimates of €7.4/share, discounted by a 10% WACC. We have adopted this<br />

EPS estimate because it reflects the earnings power that EUV will, in our<br />

opinion, realise in 2016. Our 12x P/E has assigned a 10% premium to<br />

ASML’s historical mid-recovery-cycle P/E (9x-13x) to reflect ASML’s more<br />

competitive position (market share: 80% by 2016 compared with the last<br />

recovery cycle of 2010, when it had a market share of 70%).<br />

ASML’s RoE is the highest within our coverage, and it has the lowest dividend<br />

yield, FCF yield and net cash/market cap.<br />

Figure 1: Valuation matrix<br />

Dividend<br />

ROE*<br />

Cash/marc<br />

yield*<br />

FCF yield* Net cash/marcap<br />

No.1 ASML 19.7% AMAT 2.5% AMAT 9.7% TEL 26.5%<br />

No.2 AMAT 17.1% TEL 1.5% ASMI 6.2% SUSS 17.6%<br />

No.3 SUSS 9.1% ASML 0.9% ASML 4.4% AMAT 16.7%<br />

No.4 TEL 6.3% ASMI - TEL 4.3% ASMI 8.9%<br />

No.5 ASMI 6.2% SUSS - SUSS 1.6% ASML 6.5%<br />

* based on <strong>Berenberg</strong> estimation of 2014 performance<br />

Net cash based on 2012 YE balance<br />

Source: <strong>Berenberg</strong> estimation, company data<br />

Key catalysts<br />

The following are the key catalysts for the share price.<br />

1) Further progress on EUV light source: Management has retained its target<br />

for 105 watts by mid-2014, and expects to reach 80 watts by<br />

September/October 2013. We think ASML’s acquisition of Cymer has further<br />

strengthened its R&D capability on EUV, and believe that ASML is likely to<br />

reach 105 watts by 2014 as targeted.<br />

2) DRAM and NAND order recovery provides order intake/revenue<br />

upside: After seeing DRAM orders recover in Q2, we believe memory orders<br />

will accelerate in the coming quarters, especially NAND orders. Companies<br />

such as KLA and LAM have indicated improving memory orders/spending<br />

compared with the 2012 trough last quarter.<br />

3) Chip-makers accelerate their roadmap on shrinkage: In our opinion, chipmakers<br />

could accelerate their shrinkage plans in next few months, as the level<br />

of competition in the consumer electronics market intensifies.<br />

4) Nikon fails to release EUV tools: We think Nikon is unlikely to announce<br />

any EUV-related progress until 2014/2015, as its current focus is on 450mm.<br />

30

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!