29.12.2014 Views

MICROMASTER 440 - Siemens AS

MICROMASTER 440 - Siemens AS

MICROMASTER 440 - Siemens AS

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Issue 10/06<br />

6 Commissioning<br />

6.4.5 Selection of frequency setpoint<br />

P1000 =...<br />

Selection of frequency setpoint<br />

0 No main setpoint<br />

1 MOP setpoint<br />

2 Analog setpoint<br />

3 Fixed frequency<br />

4 USS on BOP link<br />

5 USS on COM link<br />

6 CB on COM link<br />

7 Analog setpoint 2<br />

10 No main setpoint + MOP setpoint<br />

11 MOP setpoint + MOP setpoint<br />

12 Analog setpoint + MOP setpoint<br />

...<br />

76 CB on COM link + Analog setpoint 2<br />

77 Analog setpoint 2 + Analog setpoint 2<br />

NOTE<br />

In addition to the main setpoint, a supplementary setpoint can be entered using P1000<br />

Example P1000 = 12 :<br />

2<br />

P1000 = 12 ⇒ P1070 = 755<br />

P1000 = 12 ⇒ P1075 = 1050<br />

P1070<br />

r0755<br />

P1075<br />

r1050<br />

CI: Main setpoint<br />

CO: Act. ADC after scal. [4000h]<br />

CI: Additional setpoint<br />

CO: Act. Output freq. of the MOP<br />

MOP<br />

ADC<br />

P1076<br />

P1074<br />

Sequence control<br />

FF<br />

USS<br />

BOP link<br />

USS<br />

COM link<br />

x<br />

P1000 = 12<br />

P1000 = 12<br />

Additonal<br />

setpoint<br />

Main<br />

setpoint<br />

Setpoint<br />

channel<br />

Motor<br />

control<br />

CB<br />

COM link<br />

ADC2<br />

P1074 = ...<br />

P1076 = ...<br />

BI: Disable additional setpoint<br />

Disables additional setpoint (ZUSW).<br />

CI: Additional setpoint scaling<br />

Defines the source to scale the additional setpoint.<br />

Common settings:<br />

1 Scaling of 1.0 (100 %)<br />

755 Analog input setpoint<br />

1024 Fixed frequency setpoint<br />

1050 MOP setpoint<br />

0:0<br />

1:0<br />

<strong>MICROM<strong>AS</strong>TER</strong> <strong>440</strong><br />

Operating Instructions (Compact) 37

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!