02.03.2014 Views

BSP Developer's Guide

BSP Developer's Guide

BSP Developer's Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

VxWorks 5.5<br />

<strong>BSP</strong> Developer’s <strong>Guide</strong><br />

return (OK);<br />

}<br />

/***************************************************************************<br />

*<br />

* xxxHwInit - initialize the SCSI controller to a known state<br />

*<br />

* This routine puts the SCSI controller into a known quiescent state. It<br />

* does not reset the SCSI bus (and any other devices thereon).<br />

*/<br />

LOCAL void xxxHwInit<br />

(<br />

SBIC *pSbic /* ptr to an SBIC structure */<br />

)<br />

{<br />

/*<br />

* Initialize the SCSI controller hardware registers and place the<br />

* chip in a known quiescent state<br />

*/<br />

}<br />

/***************************************************************************<br />

*<br />

* xxxDevSelect - attempt to select a SCSI device<br />

*<br />

* RETURNS: OK (no error conditions)<br />

*/<br />

LOCAL STATUS xxxDevSelect<br />

(<br />

SCSI_CTRL *pScsiCtrl, /* ptr to SCSI controller info */<br />

int devBusId, /* SCSI bus ID of device to select */<br />

UINT selTimeOut, /* select t-o period (usec) */<br />

UINT8 *msgBuf, /* ptr to identification message */<br />

UINT msgLen /* maximum number of message bytes */<br />

)<br />

{<br />

int lockKey; /* saved interrupt lock key */<br />

lockKey = intLock ();<br />

/* Select device */<br />

intUnlock (lockKey);<br />

}<br />

/***************************************************************************<br />

*<br />

* xxxXferParamsQuery - get (synchronous) transfer parameters<br />

*<br />

* Updates the synchronous transfer parameters suggested in the call to match<br />

* the SCSI controller's capabilities. Transfer period is in SCSI units<br />

* (multiples of 4 ns).<br />

*<br />

* RETURNS: OK<br />

330

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!