16.11.2015 Views

UltraScale Architecture Memory Resources

ug573-ultrascale-memory-resources

ug573-ultrascale-memory-resources

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 2: Built-in FIFO<br />

Cascading FIFOs Serially and in Parallel to Extend Depth<br />

Cascading Serially<br />

<strong>UltraScale</strong> architecture-based devices have built-in support for cascading FIFOs in series<br />

to extend depth without requiring logic resources. Dedicating routing and logic have been<br />

added to make this cascading mode available in hardware. Cascading FIFOs in series to<br />

expand depth is supported for FIFO18E2 primitives and for FIFO36E2 primitives, and two or<br />

more FIFOs can be cascaded up to a full column with some limitations (e.g., a PCIe® block<br />

interrupting the column). When cascading FIFOs serially, the first FIFO (the FIFO with the<br />

write side interface) must have CASCADE_ORDER = FIRST, the last FIFO (the one with the<br />

read interface) must have CASCADE_ORDER = LAST, and all other FIFOs in the chain must<br />

have CASCADE_ORDER = MIDDLE. FIFOs with a CASCADE_ORDER of FIRST or MIDDLE must<br />

be configured with FIRST_WORD_FALL_THROUGH = TRUE. The LAST FIFO in the chain can<br />

be in FWFT or standard mode. The FIFO control logic handles all the handshaking between<br />

the blocks and all the read and write interfaces. However, the RDCLK and WRCLK pins for all<br />

of the FIFOs in the chain must be connected in a specific way. The WRCLK input of the first<br />

FIFO should always use the user’s WRCLK, the RDCLK input of the last FIFO should always<br />

use the user’s RDCLK, and all other clock inputs should be connected to the faster of the<br />

two clocks. When both the WRCLK and RDCLK of a particular FIFO primitive is connected to<br />

the same clock input (same clock buffer source), the FIFO can be configured as a common<br />

clock FIFO to reduce the latencies through the FIFOs. The FIFOs can be configured in<br />

REGISTERED or UNREGISTERED mode. The REGISTERED mode provides maximum<br />

performance at the expense of increased latency for the WRCLK and RDCLK flag<br />

deassertion. If resetting the FIFO is required, the RST pins of the FIFOs must be connected<br />

by the application (tied to a single reset net). The FIFO control logic does not automatically<br />

handle the reset flags RDRSTBUSY and WRRSTBUSY, and therefore the application must<br />

monitor those if needed (e.g., ORing them).<br />

<strong>UltraScale</strong> <strong>Architecture</strong> <strong>Memory</strong> <strong>Resources</strong> www.xilinx.com<br />

Send Feedback<br />

78<br />

UG573 (v1.2) February 24, 2015

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!