20.06.2013 Views

Design Challenges: Avoiding the Pitfalls, winning the game - Xilinx

Design Challenges: Avoiding the Pitfalls, winning the game - Xilinx

Design Challenges: Avoiding the Pitfalls, winning the game - Xilinx

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

ASIC designers have been taking full advantage of hierarchical<br />

design methods for years. Now it’s time for FPGA designers<br />

to learn how to use <strong>the</strong> PlanAhead environment and follow<br />

new design techniques and requirements.<br />

With enough effort and iterations, you<br />

will eventually have an idea of what it takes<br />

to achieve timing closure. But how much<br />

time will you need – and how many iterations<br />

will be required – before you get <strong>the</strong><br />

design and constraints to converge on timing?<br />

Is it a matter of refining <strong>the</strong> constraints,<br />

placement, or changing <strong>the</strong> RTL structure?<br />

When using a flat methodology to make<br />

even minor changes to a given logic block,<br />

you must redo PAR for <strong>the</strong> entire design.<br />

This adds up to a significant amount of<br />

wasted time, as 50 or more PAR iterations<br />

– at 8 or more hours apiece – are common<br />

with today’s larger FPGA netlists.<br />

The PlanAhead QuickStart! service<br />

delivers individualized service that includes<br />

a QuickStart! application engineer at your<br />

site for a week. This <strong>Xilinx</strong> expert will train<br />

design teams on <strong>the</strong> PlanAhead hierarchical<br />

design environment, which allows<br />

designers to create block-based incremental<br />

designs, run timing analysis, reduce PAR<br />

times, create reusable modules, and group<br />

fabric for optimal routing and consistent<br />

results. After a two-day training course on<br />

PlanAhead design tools, <strong>the</strong> QuickStart!<br />

engineer will <strong>the</strong>n provide support and<br />

consultation customized specifically to<br />

address your design requirements.<br />

Benefits of Floorplanning<br />

Two important but opposing features of a<br />

great design are obtaining maximum speed<br />

and minimal device utilization. A design<br />

with a highly optimized HDL netlist can still<br />

fail to meet timing with non-optimal logic<br />

placement. Each time a design undergoes<br />

PAR, <strong>the</strong> internal logic can move or shift<br />

because placement is not predictable. As a<br />

result, performance can change dramatically<br />

with each PAR run. Synchronous elements<br />

placed in a scattered fashion can slow timing<br />

because of routing delays, but <strong>the</strong>se can be<br />

dramatically reduced – and device utilization<br />

minimized – by closely grouping <strong>the</strong> related<br />

logic. Timing-driven floorplanning helps you<br />

reach <strong>the</strong> highest speeds while using a minimal<br />

amount of FPGA fabric, saving more<br />

fabric for extra features and options.<br />

Benefits of Hierarchical <strong>Design</strong><br />

The PlanAhead QuickStart! service rapidly<br />

enables design teams to utilize a hierarchical<br />

design methodology and increase design<br />

performance. This methodology enables<br />

<strong>the</strong> design to be broken up into separate<br />

hierarchical blocks or modules. Once PAR<br />

is locked in for each block, placement and<br />

routing between <strong>the</strong> blocks is performed.<br />

The less routing delay between <strong>the</strong> internal<br />

sub-modules of a block and between<br />

blocks, <strong>the</strong> more predictable timing closure<br />

is for <strong>the</strong> overall design.<br />

Each separate block can undergo PAR<br />

by different team members. They will also<br />

have <strong>the</strong> control to make changes to <strong>the</strong>ir<br />

blocks independently of <strong>the</strong>ir teammates.<br />

Instead of making changes to <strong>the</strong> design as<br />

a whole, individual team members can<br />

make small incremental changes to portions<br />

of <strong>the</strong> design. This approach increases<br />

productivity because it reduces <strong>the</strong> total<br />

number of time-consuming PAR runs. The<br />

modular design flow also reduces <strong>the</strong> time<br />

needed for each PAR run. Isolating <strong>the</strong><br />

problem to specific block(s) eliminates <strong>the</strong><br />

extra PAR iterations usually required when<br />

working with a flat methodology.<br />

PlanAhead <strong>Design</strong> Tools<br />

Historically, it was difficult to follow hierarchical<br />

design methodologies with older<br />

FPGA design tools. Several software applications<br />

or design tools were needed at various<br />

stages in <strong>the</strong> design implementation.<br />

The hierarchical design planning capability<br />

of PlanAhead design tools includes an<br />

advanced user interface, making it easy to<br />

use. Multiple views highlight <strong>the</strong> resources,<br />

connectivity, and logical and physical hierarchy,<br />

enabling design teams to quickly<br />

inspect and rectify problem areas. They can<br />

also create and manipulate physical hierarchy<br />

independently from logical hierarchy.<br />

The tool is powerful and allows designers<br />

to simultaneously plan and analyze multiple<br />

physical implementations.<br />

Benefits of PlanAhead QuickStart!<br />

ASIC designers have been taking full advantage<br />

of hierarchical design methods for years.<br />

Now it’s time for FPGA designers to learn<br />

how to use <strong>the</strong> PlanAhead environment and<br />

follow new design techniques and requirements.<br />

The QuickStart! engineer will provide<br />

a two-day <strong>Design</strong>ing with PlanAhead course,<br />

followed by three days of on-site customized<br />

support and consultation. The engineer will<br />

be familiar with PlanAhead fundamentals, as<br />

well as o<strong>the</strong>r design aspects that may need<br />

consideration. After a week of dedicated support,<br />

your team will be familiar with fundamentals<br />

of modular and block-based design,<br />

working at an expert level with <strong>the</strong><br />

PlanAhead hierarchical design environment.<br />

Conclusion<br />

Many designers are comfortable with a<br />

push-button flow, which is defined by simply<br />

writing and syn<strong>the</strong>sizing HDL and<br />

using <strong>Xilinx</strong> implementation tools without<br />

special options or design constraints. Most<br />

design teams can obtain desired results<br />

with this flow. But for designers creating<br />

powerful applications, floorplanning and<br />

hierarchical block-based design techniques<br />

are essential. The PlanAhead hierarchical<br />

design environment allows you to design<br />

with a new powerful methodology. The<br />

PlanAhead QuickStart! service enables you<br />

to reap <strong>the</strong> benefits in only a week. To find<br />

out more about PlanAhead QuickStart!,<br />

contact your <strong>Xilinx</strong> representative or visit<br />

www.xilinx.com/paq.<br />

104 Xcell Journal Third Quarter 2005

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!