12.07.2015 Views

Top-down digital design flow - Microelectronic Systems Laboratory

Top-down digital design flow - Microelectronic Systems Laboratory

Top-down digital design flow - Microelectronic Systems Laboratory

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Top</strong>-<strong>down</strong> <strong>digital</strong> <strong>design</strong> <strong>flow</strong> / Chapter 3: Logic synthesis 29## Synopsys DC shell script for adder-subtractor.## Process: AMS 0.35u CMOS (C35), Hit-Kit 3.70##-----------------------------------------------------------------------------# It is assumed that a project directory structure has already been# created using 'create_project' and that this synthesis script is# executed from the project root directory $PROJECT_DIR#-----------------------------------------------------------------------------set PROJECT_DIR [pwd]#-----------------------------------------------------------------------------# Design related information (can be changed)#-----------------------------------------------------------------------------set VHDL_ENTITY addsubset VHDL_ARCH dflset NBITS 8set CLK_NAME clk# all time values are in nsset CLK_PERIOD 10;set INPUT_DELAY 2;set OUTPUT_DELAY 2;set OPERATING_COND WORST-IND#-----------------------------------------------------------------------------# Flags that drive the script behavior (can be changed)## DB_FORMAT (db | ddc)# if db, use the old DB format to store <strong>design</strong> information# if ddc, use the new XG format to store <strong>design</strong> information (recommended)# SHARE_RESOURCES (0 | 1)# if 1, force the tool to share resources as much as possible# if 0, no resource sharing# COMPILE_SIMPLE (0 | 1)# if 1, only do a single compile with default arguments# if 0, do a two-step compilation with ungrouping in between# OPT (string)# can be used to have different mapped file names#-----------------------------------------------------------------------------set DB_MODE ddcset SHARE_RESOURCES 1set COMPILE_SIMPLE 1set OPT "_clk10ns" ;# to denote the 10ns clock period case#-----------------------------------------------------------------------------# File names#-----------------------------------------------------------------------------set SOURCE_FILE_NAME${VHDL_ENTITY}_${VHDL_ARCH}set ROOT_FILE_NAME${VHDL_ENTITY}_${VHDL_ARCH}_nbits${NBITS}set VHDL_SOURCE_FILE_NAME ${SOURCE_FILE_NAME}.vhdset ELAB_FILE_NAME${ROOT_FILE_NAME}_elabset MAPPED_FILE_NAME${ROOT_FILE_NAME}${OPT}_mappedset DB_ELAB_FILE_NAME${ELAB_FILE_NAME}.$DB_MODEset DB_MAPPED_FILE_NAME ${MAPPED_FILE_NAME}.$DB_MODEset VHDL_NETLIST_FILE_NAME ${MAPPED_FILE_NAME}.vhdset VLOG_NETLIST_FILE_NAME ${MAPPED_FILE_NAME}.vset SDF_FILE_NAME${MAPPED_FILE_NAME}.sdfset SDC_FILE_NAME${MAPPED_FILE_NAME}.sdcset RPT_AREA_FILE_NAME ${MAPPED_FILE_NAME}_area.rptset RPT_TIMING_FILE_NAME ${MAPPED_FILE_NAME}_timing.rptset RPT_RESOURCES_FILE_NAME ${MAPPED_FILE_NAME}_resources.rptset RPT_REFERENCES_FILE_NAME ${MAPPED_FILE_NAME}_references.rptset RPT_CELLS_FILE_NAME ${MAPPED_FILE_NAME}_cells.rpt#-----------------------------------------------------------------------------# Absolute pathsAVx / version 3.1 - November 2006

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!